九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)課后習(xí)題答案.doc

  • 資源ID:1565026       資源大?。?span id="24d9guoke414" class="font-tahoma">1.54MB        全文頁數(shù):30頁
  • 資源格式: DOC        下載積分:32積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要32積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)課后習(xí)題答案.doc

第1章 計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的基本概念1.1 解釋下列術(shù)語層次機(jī)構(gòu):按照計(jì)算機(jī)語言從低級到高級的次序,把計(jì)算機(jī)系統(tǒng)按功能劃分成多級層次結(jié)構(gòu),每一層以一種不同的語言為特征。這些層次依次為:微程序機(jī)器級,傳統(tǒng)機(jī)器語言機(jī)器級,匯編語言機(jī)器級,高級語言機(jī)器級,應(yīng)用語言機(jī)器級等。虛擬機(jī):用軟件實(shí)現(xiàn)的機(jī)器。翻譯:先用轉(zhuǎn)換程序把高一級機(jī)器上的程序轉(zhuǎn)換為低一級機(jī)器上等效的程序,然后再在這低一級機(jī)器上運(yùn)行,實(shí)現(xiàn)程序的功能。解釋:對于高一級機(jī)器上的程序中的每一條語句或指令,都是轉(zhuǎn)去執(zhí)行低一級機(jī)器上的一段等效程序。執(zhí)行完后,再去高一級機(jī)器取下一條語句或指令,再進(jìn)行解釋執(zhí)行,如此反復(fù),直到解釋執(zhí)行完整個(gè)程序。計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):傳統(tǒng)機(jī)器程序員所看到的計(jì)算機(jī)屬性,即概念性結(jié)構(gòu)與功能特性。在計(jì)算機(jī)技術(shù)中,把這種本來存在的事物或?qū)傩?,但從某種角度看又好像不存在的概念稱為透明性。計(jì)算機(jī)組成:計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的邏輯實(shí)現(xiàn),包含物理機(jī)器級中的數(shù)據(jù)流和控制流的組成以及邏輯設(shè)計(jì)等。計(jì)算機(jī)實(shí)現(xiàn):計(jì)算機(jī)組成的物理實(shí)現(xiàn),包括處理機(jī)、主存等部件的物理結(jié)構(gòu),器件的集成度和速度,模塊、插件、底板的劃分與連接,信號傳輸,電源、冷卻及整機(jī)裝配技術(shù)等。系統(tǒng)加速比:對系統(tǒng)中某部分進(jìn)行改進(jìn)時(shí),改進(jìn)后系統(tǒng)性能提高的倍數(shù)。Amdahl定律:當(dāng)對一個(gè)系統(tǒng)中的某個(gè)部件進(jìn)行改進(jìn)后,所能獲得的整個(gè)系統(tǒng)性能的提高,受限于該部件的執(zhí)行時(shí)間占總執(zhí)行時(shí)間的百分比。程序的局部性原理:程序執(zhí)行時(shí)所訪問的存儲器地址不是隨機(jī)分布的,而是相對地簇聚。包括時(shí)間局部性和空間局部性。CPI:每條指令執(zhí)行的平均時(shí)鐘周期數(shù)。測試程序套件:由各種不同的真實(shí)應(yīng)用程序構(gòu)成的一組測試程序,用來測試計(jì)算機(jī)在各個(gè)方面的處理性能。存儲程序計(jì)算機(jī):馮·諾依曼結(jié)構(gòu)計(jì)算機(jī)。其基本點(diǎn)是指令驅(qū)動。程序預(yù)先存放在計(jì)算機(jī)存儲器中,機(jī)器一旦啟動,就能按照程序指定的邏輯順序執(zhí)行這些程序,自動完成由程序所描述的處理工作。系列機(jī):由同一廠家生產(chǎn)的具有相同系統(tǒng)結(jié)構(gòu)、但具有不同組成和實(shí)現(xiàn)的一系列不同型號的計(jì)算機(jī)。軟件兼容:一個(gè)軟件可以不經(jīng)修改或者只需少量修改就可以由一臺計(jì)算機(jī)移植到另一臺計(jì)算機(jī)上運(yùn)行。差別只是執(zhí)行時(shí)間的不同。向上(下)兼容:按某檔計(jì)算機(jī)編制的程序,不加修改就能運(yùn)行于比它高(低)檔的計(jì)算機(jī)。向后(前)兼容:按某個(gè)時(shí)期投入市場的某種型號計(jì)算機(jī)編制的程序,不加修改地就能運(yùn)行于在它之后(前)投入市場的計(jì)算機(jī)。兼容機(jī):由不同公司廠家生產(chǎn)的具有相同系統(tǒng)結(jié)構(gòu)的計(jì)算機(jī)。模擬:用軟件的方法在一臺現(xiàn)有的計(jì)算機(jī)(稱為宿主機(jī))上實(shí)現(xiàn)另一臺計(jì)算機(jī)(稱為虛擬機(jī))的指令系統(tǒng)。仿真:用一臺現(xiàn)有計(jì)算機(jī)(稱為宿主機(jī))上的微程序去解釋實(shí)現(xiàn)另一臺計(jì)算機(jī)(稱為目標(biāo)機(jī))的指令系統(tǒng)。并行性:計(jì)算機(jī)系統(tǒng)在同一時(shí)刻或者同一時(shí)間間隔內(nèi)進(jìn)行多種運(yùn)算或操作。只要在時(shí)間上相互重疊,就存在并行性。它包括同時(shí)性與并發(fā)性兩種含義。時(shí)間重疊:在并行性概念中引入時(shí)間因素,讓多個(gè)處理過程在時(shí)間上相互錯開,輪流重疊地使用同一套硬件設(shè)備的各個(gè)部分,以加快硬件周轉(zhuǎn)而贏得速度。資源重復(fù):在并行性概念中引入空間因素,以數(shù)量取勝。通過重復(fù)設(shè)置硬件資源,大幅度地提高計(jì)算機(jī)系統(tǒng)的性能。資源共享:這是一種軟件方法,它使多個(gè)任務(wù)按一定時(shí)間順序輪流使用同一套硬件設(shè)備。耦合度:反映多機(jī)系統(tǒng)中各計(jì)算機(jī)之間物理連接的緊密程度和交互作用能力的強(qiáng)弱。緊密耦合系統(tǒng):又稱直接耦合系統(tǒng)。在這種系統(tǒng)中,計(jì)算機(jī)之間的物理連接的頻帶較高,一般是通過總線或高速開關(guān)互連,可以共享主存。松散耦合系統(tǒng):又稱間接耦合系統(tǒng),一般是通過通道或通信線路實(shí)現(xiàn)計(jì)算機(jī)之間的互連,可以共享外存設(shè)備(磁盤、磁帶等)。計(jì)算機(jī)之間的相互作用是在文件或數(shù)據(jù)集一級上進(jìn)行。異構(gòu)型多處理機(jī)系統(tǒng):由多個(gè)不同類型、至少擔(dān)負(fù)不同功能的處理機(jī)組成,它們按照作業(yè)要求的順序,利用時(shí)間重疊原理,依次對它們的多個(gè)任務(wù)進(jìn)行加工,各自完成規(guī)定的功能動作。同構(gòu)型多處理機(jī)系統(tǒng):由多個(gè)同類型或至少擔(dān)負(fù)同等功能的處理機(jī)組成,它們同時(shí)處理同一作業(yè)中能并行執(zhí)行的多個(gè)任務(wù)。1.2 試用實(shí)例說明計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)、計(jì)算機(jī)組成與計(jì)算機(jī)實(shí)現(xiàn)之間的相互關(guān)系。答:如在設(shè)計(jì)主存系統(tǒng)時(shí),確定主存容量、編址方式、尋址范圍等屬于計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)。確定主存周期、邏輯上是否采用并行主存、邏輯設(shè)計(jì)等屬于計(jì)算機(jī)組成。選擇存儲芯片類型、微組裝技術(shù)、線路設(shè)計(jì)等屬于計(jì)算機(jī)實(shí)現(xiàn)。計(jì)算機(jī)組成是計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的邏輯實(shí)現(xiàn)。計(jì)算機(jī)實(shí)現(xiàn)是計(jì)算機(jī)組成的物理實(shí)現(xiàn)。一種體系結(jié)構(gòu)可以有多種組成。一種組成可以有多種實(shí)現(xiàn)。1.3 計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)的Flynn分類法是按什么來分類的?共分為哪幾類?答:Flynn分類法是按照指令流和數(shù)據(jù)流的多倍性進(jìn)行分類。把計(jì)算機(jī)系統(tǒng)的結(jié)構(gòu)分為:(1) 單指令流單數(shù)據(jù)流SISD(2) 單指令流多數(shù)據(jù)流SIMD(3) 多指令流單數(shù)據(jù)流MISD(4) 多指令流多數(shù)據(jù)流MIMD1.4 計(jì)算機(jī)系統(tǒng)設(shè)計(jì)中經(jīng)常使用的4個(gè)定量原理是什么?并說出它們的含義。答:(1)以經(jīng)常性事件為重點(diǎn)。在計(jì)算機(jī)系統(tǒng)的設(shè)計(jì)中,對經(jīng)常發(fā)生的情況,賦予它優(yōu)先的處理權(quán)和資源使用權(quán),以得到更多的總體上的改進(jìn)。(2)Amdahl定律。加快某部件執(zhí)行速度所獲得的系統(tǒng)性能加速比,受限于該部件在系統(tǒng)中所占的重要性。(3)CPU性能公式。執(zhí)行一個(gè)程序所需的CPU時(shí)間 = IC ×CPI ×時(shí)鐘周期時(shí)間。(4)程序的局部性原理。程序在執(zhí)行時(shí)所訪問地址的分布不是隨機(jī)的,而是相對地簇聚。1.5 分別從執(zhí)行程序的角度和處理數(shù)據(jù)的角度來看,計(jì)算機(jī)系統(tǒng)中并行性等級從低到高可分為哪幾級? 答:從處理數(shù)據(jù)的角度來看,并行性等級從低到高可分為:(1)字串位串:每次只對一個(gè)字的一位進(jìn)行處理。這是最基本的串行處理方式,不存在并行性;(2)字串位并:同時(shí)對一個(gè)字的全部位進(jìn)行處理,不同字之間是串行的。已開始出現(xiàn)并行性;(3)字并位串:同時(shí)對許多字的同一位(稱為位片)進(jìn)行處理。這種方式具有較高的并行性;(4)全并行:同時(shí)對許多字的全部位或部分位進(jìn)行處理。這是最高一級的并行。從執(zhí)行程序的角度來看,并行性等級從低到高可分為:(1)指令內(nèi)部并行:單條指令中各微操作之間的并行;(2)指令級并行:并行執(zhí)行兩條或兩條以上的指令;(3)線程級并行:并行執(zhí)行兩個(gè)或兩個(gè)以上的線程,通常是以一個(gè)進(jìn)程內(nèi)派生的多個(gè)線程為調(diào)度單位;(4)任務(wù)級或過程級并行:并行執(zhí)行兩個(gè)或兩個(gè)以上的過程或任務(wù)(程序段),以子程序或進(jìn)程為調(diào)度單元;(5)作業(yè)或程序級并行:并行執(zhí)行兩個(gè)或兩個(gè)以上的作業(yè)或程序。1.6 某臺主頻為400MHz的計(jì)算機(jī)執(zhí)行標(biāo)準(zhǔn)測試程序,程序中指令類型、執(zhí)行數(shù)量和平均時(shí)鐘周期數(shù)如下:指令類型指令執(zhí)行數(shù)量平均時(shí)鐘周期數(shù)整數(shù)450001數(shù)據(jù)傳送750002浮點(diǎn)80004分支15002求該計(jì)算機(jī)的有效CPI、MIPS和程序執(zhí)行時(shí)間。解:(1)CPI (45000×175000×28000×41500×2) / 1295001.776(2)MIPS速率f/ CPI 400/1.776 225.225MIPS(3)程序執(zhí)行時(shí)間= (45000×175000×28000×41500×2)400=575s1.7 將計(jì)算機(jī)系統(tǒng)中某一功能的處理速度加快10倍,但該功能的處理時(shí)間僅為整個(gè)系統(tǒng)運(yùn)行時(shí)間的40%,則采用此增強(qiáng)功能方法后,能使整個(gè)系統(tǒng)的性能提高多少?解 由題可知: 可改進(jìn)比例 = 40% = 0.4 部件加速比 = 10根據(jù)Amdahl定律可知:采用此增強(qiáng)功能方法后,能使整個(gè)系統(tǒng)的性能提高到原來的1.5625倍。1.8 計(jì)算機(jī)系統(tǒng)中有三個(gè)部件可以改進(jìn),這三個(gè)部件的部件加速比為:部件加速比1=30; 部件加速比2=20; 部件加速比3=10(1) 如果部件1和部件2的可改進(jìn)比例均為30%,那么當(dāng)部件3的可改進(jìn)比例為多少時(shí),系統(tǒng)加速比才可以達(dá)到10?(2) 如果三個(gè)部件的可改進(jìn)比例分別為30%、30%和20%,三個(gè)部件同時(shí)改進(jìn),那么系統(tǒng)中不可加速部分的執(zhí)行時(shí)間在總執(zhí)行時(shí)間中占的比例是多少?解:(1)在多個(gè)部件可改進(jìn)情況下,Amdahl定理的擴(kuò)展:已知S130,S220,S310,Sn10,F(xiàn)10.3,F(xiàn)20.3,得:得F30.36,即部件3的可改進(jìn)比例為36%。(2)設(shè)系統(tǒng)改進(jìn)前的執(zhí)行時(shí)間為T,則3個(gè)部件改進(jìn)前的執(zhí)行時(shí)間為:(0.3+0.3+0.2)T = 0.8T,不可改進(jìn)部分的執(zhí)行時(shí)間為0.2T。已知3個(gè)部件改進(jìn)后的加速比分別為S130,S220,S310,因此3個(gè)部件改進(jìn)后的執(zhí)行時(shí)間為: 改進(jìn)后整個(gè)系統(tǒng)的執(zhí)行時(shí)間為:Tn = 0.045T+0.2T = 0.245T那么系統(tǒng)中不可改進(jìn)部分的執(zhí)行時(shí)間在總執(zhí)行時(shí)間中占的比例是:1.9 假設(shè)某應(yīng)用程序中有4類操作,通過改進(jìn),各操作獲得不同的性能提高。具體數(shù)據(jù)如下表所示:操作類型程序中的數(shù)量(百萬條指令)改進(jìn)前的執(zhí)行時(shí)間(周期)改進(jìn)后的執(zhí)行時(shí)間(周期)操作11021操作2302015操作335103操作41541(1)改進(jìn)后,各類操作的加速比分別是多少?(2)各類操作單獨(dú)改進(jìn)后,程序獲得的加速比分別是多少?(3)4類操作均改進(jìn)后,整個(gè)程序的加速比是多少?解:根據(jù)Amdahl定律可得操作類型各類操作的指令條數(shù)在程序中所占的比例Fi各類操作的加速比Si各類操作單獨(dú)改進(jìn)后,程序獲得的加速比操作111.1%21.06操作233.3%1.331.09操作338.9%3.331.37操作416.7%41.144類操作均改進(jìn)后,整個(gè)程序的加速比:第2章 指令集結(jié)構(gòu)的分類2.1 解釋下列術(shù)語堆棧型機(jī)器:CPU 中存儲操作數(shù)的單元是堆棧的機(jī)器。累加器型機(jī)器:CPU 中存儲操作數(shù)的單元是累加器的機(jī)器。通用寄存器型機(jī)器:CPU 中存儲操作數(shù)的單元是通用寄存器的機(jī)器。CISC:復(fù)雜指令集計(jì)算機(jī)RISC:精簡指令集計(jì)算機(jī)尋址方式:指令系統(tǒng)中如何形成所要訪問的數(shù)據(jù)的地址。一般來說,尋址方式可以指明指令中的操作數(shù)是一個(gè)常數(shù)、一個(gè)寄存器操作數(shù)或者是一個(gè)存儲器操作數(shù)。數(shù)據(jù)表示:硬件結(jié)構(gòu)能夠識別、指令系統(tǒng)可以直接調(diào)用的那些數(shù)據(jù)結(jié)構(gòu)。2.2 區(qū)別不同指令集結(jié)構(gòu)的主要因素是什么?根據(jù)這個(gè)主要因素可將指令集結(jié)構(gòu)分為哪3類?答:區(qū)別不同指令集結(jié)構(gòu)的主要因素是CPU中用來存儲操作數(shù)的存儲單元。據(jù)此可將指令系統(tǒng)結(jié)構(gòu)分為堆棧結(jié)構(gòu)、累加器結(jié)構(gòu)和通用寄存器結(jié)構(gòu)。2.3 常見的3種通用寄存器型指令集結(jié)構(gòu)的優(yōu)缺點(diǎn)有哪些?答:指令系統(tǒng)結(jié)構(gòu)類型優(yōu) 點(diǎn)缺 點(diǎn)寄存器-寄存器型(0,3)指令字長固定,指令結(jié)構(gòu)簡潔,是一種簡單的代碼生成模型,各種指令的執(zhí)行時(shí)鐘周期數(shù)相近。與指令中含存儲器操作數(shù)的指令系統(tǒng)結(jié)構(gòu)相比,指令條數(shù)多,目標(biāo)代碼不夠緊湊,因而程序占用的空間比較大。寄存器-存儲器型(1,2)可以在ALU指令中直接對存儲器操作數(shù)進(jìn)行引用,而不必先用load指令進(jìn)行加載。容易對指令進(jìn)行編碼,目標(biāo)代碼比較緊湊。由于有一個(gè)操作數(shù)的內(nèi)容將被破壞,所以指令中的兩個(gè)操作數(shù)不對稱。在一條指令中同時(shí)對寄存器操作數(shù)和存儲器操作數(shù)進(jìn)行編碼,有可能限制指令所能夠表示的寄存器個(gè)數(shù)。指令的執(zhí)行時(shí)鐘周期數(shù)因操作數(shù)的來源(寄存器或存儲器)不同而差別比較大。存儲器-存儲器型(2,2)或(3,3)目標(biāo)代碼最緊湊,不需要設(shè)置寄存器來保存變量。指令字長變化很大,特別是3操作數(shù)指令。而且每條指令完成的工作也差別很大。對存儲器的頻繁訪問會使存儲器成為瓶頸。這種類型的指令系統(tǒng)現(xiàn)在已不用了。2.4 指令集應(yīng)滿足哪幾個(gè)基本要求?答:對指令集的基本要求是:完整性、規(guī)整性、高效率和兼容性。完整性是指在一個(gè)有限可用的存儲空間內(nèi),對于任何可解的問題,編制計(jì)算程序時(shí),指令集所提供的指令足夠使用。規(guī)整性主要包括對稱性和均勻性。對稱性是指所有與指令集有關(guān)的存儲單元的使用、操作碼的設(shè)置等都是對稱的。均勻性是指對于各種不同的操作數(shù)類型、字長、操作種類和數(shù)據(jù)存儲單元,指令的設(shè)置都要同等對待。高效率是指指令的執(zhí)行速度快、使用頻度高。2.5 指令集結(jié)構(gòu)設(shè)計(jì)所涉及的內(nèi)容有哪些?答: (1) 指令集功能設(shè)計(jì):主要有RISC和CISC兩種技術(shù)發(fā)展方向; (2) 尋址方式的設(shè)計(jì):設(shè)置尋址方式可以通過對基準(zhǔn)程序進(jìn)行測試統(tǒng)計(jì),察看各種尋址方式的使用頻率,根據(jù)適用頻率設(shè)置必要的尋址方式。 (3) 操作數(shù)表示和操作數(shù)類型:主要的操作數(shù)類型和操作數(shù)表示的選擇有:浮點(diǎn)數(shù)據(jù)類型、整型數(shù)據(jù)類型、字符型、十進(jìn)制數(shù)據(jù)類型等等。 (4) 尋址方式的表示:可以將尋址方式編碼于操作碼中,也可以將尋址方式作為一個(gè)單獨(dú)的域來表示。 (5) 指令集格式的設(shè)計(jì):有變長編碼格式、固定長度編碼格式和混合型編碼格式3種。2.6 簡述CISC指令集結(jié)構(gòu)功能設(shè)計(jì)的主要目標(biāo)。從當(dāng)前的計(jì)算機(jī)技術(shù)觀點(diǎn)來看,CISC指令集結(jié)構(gòu)的計(jì)算機(jī)有什么缺點(diǎn)?答:主要目標(biāo)是增強(qiáng)指令功能,把越來越多的功能交由硬件來實(shí)現(xiàn),并且指令的數(shù)量也是越來越多。缺點(diǎn): (1) CISC結(jié)構(gòu)的指令集中,各種指令的使用頻率相差懸殊。(2)CISC結(jié)構(gòu)指令的復(fù)雜性帶來了計(jì)算機(jī)體系結(jié)構(gòu)的復(fù)雜性,這不僅增加了研制時(shí)間和成本,而且還容易造成設(shè)計(jì)錯誤。(3)CISC結(jié)構(gòu)指令集的復(fù)雜性給VLSI設(shè)計(jì)增加了很大負(fù)擔(dān),不利于單片集成。(4)CISC結(jié)構(gòu)的指令集中,許多復(fù)雜指令需要很復(fù)雜的操作,因而運(yùn)行速度慢。 (5) 在CISC結(jié)構(gòu)的指令集中,由于各條指令的功能不均衡性,不利于采用先進(jìn)的計(jì)算機(jī)體系結(jié)構(gòu)技術(shù)(如流水技術(shù))來提高系統(tǒng)的性能。2.7 簡述RISC指令集結(jié)構(gòu)的設(shè)計(jì)原則。答(1) 選取使用頻率最高的指令,并補(bǔ)充一些最有用的指令;(2)每條指令的功能應(yīng)盡可能簡單,并在一個(gè)機(jī)器周期內(nèi)完成;(3)所有指令長度均相同;(4)只有Load和Store操作指令才訪問存儲器,其它指令操作均在寄存器之間進(jìn)行; (5) 以簡單有效的方式支持高級語言。2.8 指令中表示操作數(shù)類型的方法有哪幾種?答:操作數(shù)類型有兩種表示方法:(1)操作數(shù)的類型由操作碼的編碼指定,這是最常見的一種方法;(2)數(shù)據(jù)可以附上由硬件解釋的標(biāo)記,由這些標(biāo)記指定操作數(shù)的類型,從而選擇適當(dāng)?shù)倪\(yùn)算。2.9 表示尋址方式的主要方法有哪些?簡述這些方法的優(yōu)缺點(diǎn)。答:表示尋址方式有兩種常用的方法:(1)將尋址方式編于操作碼中,由操作碼在描述指令的同時(shí)也描述了相應(yīng)的尋址方式。這種方式譯碼快,但操作碼和尋址方式的結(jié)合不僅增加了指令的條數(shù),導(dǎo)致了指令的多樣性,而且增加了CPU對指令譯碼的難度。(2)為每個(gè)操作數(shù)設(shè)置一個(gè)地址描述符,由該地址描述符表示相應(yīng)操作數(shù)的尋址方式。這種方式譯碼較慢,但操作碼和尋址獨(dú)立,易于指令擴(kuò)展。2.10 通常有哪幾種指令格式,請簡述其適用范圍。答: (1) 變長編碼格式。如果系統(tǒng)結(jié)構(gòu)設(shè)計(jì)者感興趣的是程序的目標(biāo)代碼大小,而不是性能,就可以采用變長編碼格式。(2)固定長度編碼格式。如果感興趣的是性能,而不是程序的目標(biāo)代碼大小,則可以選擇固定長度編碼格式。 (3) 混合型編碼格式。需要兼顧降低目標(biāo)代碼長度和降低譯碼復(fù)雜度時(shí),可以采用混合型編碼格式。2.11 根據(jù)CPU性能公式簡述RISC指令集結(jié)構(gòu)計(jì)算機(jī)和CISC指令集結(jié)構(gòu)計(jì)算機(jī)的性能特點(diǎn)。答:CPU性能公式:CPU時(shí)間IC×CPI×T其中,IC為目標(biāo)程序被執(zhí)行的指令條數(shù),CPI為指令平均執(zhí)行周期數(shù),T是時(shí)鐘周期的時(shí)間。相同功能的CISC目標(biāo)程序的指令條數(shù)ICCISC 少于RISC的ICRISC,但是CISC的CPICISC和TCISC都大于RISC的CPIRISC和TRISC,因此,CISC目標(biāo)程序的執(zhí)行時(shí)間比RISC的更長。第3章 流水線技術(shù)3.1解釋下列術(shù)語流水線:將一個(gè)重復(fù)的時(shí)序過程,分解成為若干個(gè)子過程,而每一個(gè)子過程都可有效地在其專用功能段上與其它子過程同時(shí)執(zhí)行。單功能流水線:指流水線的各段之間的連接固定不變、只能完成一種固定功能的流水線。多功能流水線:指各段可以進(jìn)行不同的連接,以實(shí)現(xiàn)不同的功能的流水線。靜態(tài)流水線:指在同一時(shí)間內(nèi),多功能流水線中的各段只能按同一種功能的連接方式工作的流水線。當(dāng)流水線要切換到另一種功能時(shí),必須等前面的任務(wù)都流出流水線之后,才能改變連接。動態(tài)流水線:指在同一時(shí)間內(nèi),多功能流水線中的各段可以按照不同的方式連接,同時(shí)執(zhí)行多種功能的流水線。它允許在某些段正在實(shí)現(xiàn)某種運(yùn)算時(shí),另一些段卻在實(shí)現(xiàn)另一種運(yùn)算。部件級流水線:把處理機(jī)中的部件進(jìn)行分段,再把這些部件分段相互連接而成。它使得運(yùn)算操作能夠按流水方式進(jìn)行。這種流水線也稱為運(yùn)算操作流水線。處理機(jī)級流水線:又稱指令流水線。它是把指令的執(zhí)行過程按照流水方式進(jìn)行處理,即把一條指令的執(zhí)行過程分解為若干個(gè)子過程,每個(gè)子過程在獨(dú)立的功能部件中執(zhí)行。處理機(jī)間流水線:又稱為宏流水線。它是把多個(gè)處理機(jī)串行連接起來,對同一數(shù)據(jù)流進(jìn)行處理,每個(gè)處理機(jī)完成整個(gè)任務(wù)中的一部分。前一個(gè)處理機(jī)的輸出結(jié)果存入存儲器中,作為后一個(gè)處理機(jī)的輸入。線性流水線:指各段串行連接、沒有反饋回路的流水線。數(shù)據(jù)通過流水線中的各段時(shí),每一個(gè)段最多只流過一次。非線性流水線:指各段除了有串行的連接外,還有反饋回路的流水線。順序流水線:流水線輸出端任務(wù)流出的順序與輸入端任務(wù)流入的順序完全相同。亂序流水線:流水線輸出端任務(wù)流出的順序與輸入端任務(wù)流入的順序可以不同,允許后進(jìn)入流水線的任務(wù)先完成。這種流水線又稱為無序流水線、錯序流水線、異步流水線。吞吐率:在單位時(shí)間內(nèi)流水線所完成的任務(wù)數(shù)量或輸出結(jié)果的數(shù)量。流水線的加速比:使用順序處理方式處理一批任務(wù)所用的時(shí)間與按流水處理方式處理同一批任務(wù)所用的時(shí)間之比。流水線的效率:即流水線設(shè)備的利用率,它是指流水線中的設(shè)備實(shí)際使用時(shí)間與整個(gè)運(yùn)行時(shí)間的比值。數(shù)據(jù)相關(guān):考慮兩條指令i和j,i在j的前面,如果下述條件之一成立,則稱指令j與指令i數(shù)據(jù)相關(guān): (1)指令j使用指令i產(chǎn)生的結(jié)果;(2)指令j與指令k數(shù)據(jù)相關(guān),而指令k又與指令i數(shù)據(jù)相關(guān)。名相關(guān):如果兩條指令使用了相同的名,但是它們之間并沒有數(shù)據(jù)流動,則稱這兩條指令存在名相關(guān)??刂葡嚓P(guān):是指由分支指令引起的相關(guān)。它需要根據(jù)分支指令的執(zhí)行結(jié)果來確定后面該執(zhí)行哪個(gè)分支上的指令。反相關(guān):考慮兩條指令i和j,i在j的前面,如果指令j所寫的名與指令i所讀的名相同,則稱指令i和j發(fā)生了反相關(guān)。輸出相關(guān):考慮兩條指令i和j,i在j的前面,如果指令j和指令i所寫的名相同,則稱指令i和j發(fā)生了輸出相關(guān)。換名技術(shù):名相關(guān)的兩條指令之間并沒有數(shù)據(jù)的傳送,只是使用了相同的名??梢园哑渲幸粭l指令所使用的名換成別的,以此來消除名相關(guān)。結(jié)構(gòu)沖突:因硬件資源滿足不了指令重疊執(zhí)行的要求而發(fā)生的沖突。數(shù)據(jù)沖突:當(dāng)指令在流水線中重疊執(zhí)行時(shí),因需要用到前面指令的執(zhí)行結(jié)果而發(fā)生的沖突??刂茮_突:流水線遇到分支指令或其它會改變PC值的指令所引起的沖突。定向:用來解決寫后讀沖突的。在發(fā)生寫后讀相關(guān)的情況下,在計(jì)算結(jié)果尚未出來之前,后面等待使用該結(jié)果的指令并不見得是馬上就要用該結(jié)果。如果能夠?qū)⒃撚?jì)算結(jié)果從其產(chǎn)生的地方直接送到其它指令需要它的地方,那么就可以避免停頓。寫后讀沖突:考慮兩條指令i和j,且i在j之前進(jìn)入流水線,指令j用到指令i的計(jì)算結(jié)果,而且在i將結(jié)果寫入寄存器之前就去讀該寄存器,因而得到的是舊值。讀后寫沖突:考慮兩條指令i和j,且i在j之前進(jìn)入流水線,指令j的目的寄存器和指令i的源操作數(shù)寄存器相同,而且j在i讀取該寄存器之前就先對它進(jìn)行了寫操作,導(dǎo)致i讀到的值是錯誤的。寫后寫沖突:考慮兩條指令i和j,且i在j之前進(jìn)入流水線,指令j和指令i的結(jié)果單元(寄存器或存儲器單元)相同,而且j在i寫入之前就先對該單元進(jìn)行了寫入操作,從而導(dǎo)致寫入順序錯誤。這時(shí)在結(jié)果單元中留下的是i寫入的值,而不是j寫入的。鏈接技術(shù):具有先寫后讀相關(guān)的兩條指令,在不出現(xiàn)功能部件沖突和Vi沖突的情況下,可以把功能部件鏈接起來進(jìn)行流水處理,以達(dá)到加快執(zhí)行的目的。分段開采:當(dāng)向量的長度大于向量寄存器的長度時(shí),必須把長向量分成長度固定的段,然后循環(huán)分段處理,每一次循環(huán)只處理一個(gè)向量段。半性能向量長度:向量處理機(jī)的性能為其最大性能的一半時(shí)所需的向量長度。向量長度臨界值:向量流水方式的處理速度優(yōu)于標(biāo)量串行方式的處理速度時(shí)所需的向量長度的最小值。3.2 指令的執(zhí)行可采用順序執(zhí)行、重疊執(zhí)行和流水線三種方式,它們的主要區(qū)別是什么?各有何優(yōu)缺點(diǎn)。答:(1)指令的順序執(zhí)行是指指令與指令之間順序串行。即上一條指令全部執(zhí)行完后,才能開始執(zhí)行下一條指令。優(yōu)點(diǎn):控制簡單,節(jié)省設(shè)備。缺點(diǎn):執(zhí)行指令的速度慢,功能部件的利用率低。(2)指令的重疊指令是在相鄰的指令之間,讓第k條指令與取第k+l條指令同時(shí)進(jìn)行。重疊執(zhí)行不能加快單條指令的執(zhí)行速度,但在硬件增加不多的情況下,可以加快相鄰兩條指令以及整段程序的執(zhí)行速度。與順序方式相比,功能部件的利用率提高了,控制變復(fù)雜了。(3)指令的流水執(zhí)行是把一個(gè)指令的執(zhí)行過程分解為若干個(gè)子過程,每個(gè)子過程由專門的功能部件來實(shí)現(xiàn)。把多個(gè)處理過程在時(shí)間上錯開,依次通過各功能段,每個(gè)子過程與其它的子過程并行進(jìn)行。依靠提高吞吐率來提高系統(tǒng)性能。流水線中各段的時(shí)間應(yīng)盡可能相等3.3 簡述先行控制的基本思想。答:先行控制技術(shù)是把緩沖技術(shù)和預(yù)處理技術(shù)相結(jié)合。緩沖技術(shù)是在工作速度不固定的兩個(gè)功能部件之間設(shè)置緩沖器,用以平滑它們的工作。預(yù)處理技術(shù)是指預(yù)取指令、對指令進(jìn)行加工以及預(yù)取操作數(shù)等。采用先行控制方式的處理機(jī)內(nèi)部設(shè)置多個(gè)緩沖站,用于平滑主存、指令分析部件、運(yùn)算器三者之間的工作。這樣不僅使它們都能獨(dú)立地工作,充分忙碌而不用相互等待,而且使指令分析部件和運(yùn)算器分別能快速地取得指令和操作數(shù),大幅度地提高指令的執(zhí)行速度和部件的效率。這些緩沖站都按先進(jìn)先出的方式工作,而且都是由一組若干個(gè)能快速訪問的存儲單元和相關(guān)的控制邏輯組成。采用先行控制技術(shù)可以實(shí)現(xiàn)多條指令的重疊解釋執(zhí)行。 3.4 設(shè)一條指令的執(zhí)行過程分成取指令、分析指令和執(zhí)行指令三個(gè)階段,每個(gè)階段所需的時(shí)間分別為t、t和2t 。分別求出下列各種情況下,連續(xù)執(zhí)行N條指令所需的時(shí)間。(1)順序執(zhí)行方式;(2)只有“取指令”與“執(zhí)行指令”重疊;(3)“取指令”、“分析指令”與“執(zhí)行指令”重疊。解:(1)每條指令的執(zhí)行時(shí)間為:tt2t4t連續(xù)執(zhí)行N條指令所需的時(shí)間為:4Nt(2)連續(xù)執(zhí)行N條指令所需的時(shí)間為:4t3(N-1)t(3N1)t(3)連續(xù)執(zhí)行N條指令所需的時(shí)間為:4t2(N-1)t(2N2)t3.5 簡述流水線技術(shù)的特點(diǎn)。答:流水技術(shù)有以下特點(diǎn):(1) 流水線把一個(gè)處理過程分解為若干個(gè)子過程,每個(gè)子過程由一個(gè)專門的功能部件來實(shí)現(xiàn)。因此,流水線實(shí)際上是把一個(gè)大的處理功能部件分解為多個(gè)獨(dú)立的功能部件,并依靠它們的并行工作來提高吞吐率。(2) 流水線中各段的時(shí)間應(yīng)盡可能相等,否則將引起流水線堵塞和斷流。(3) 流水線每一個(gè)功能部件的前面都要有一個(gè)緩沖寄存器,稱為流水寄存器。(4) 流水技術(shù)適合于大量重復(fù)的時(shí)序過程,只有在輸入端不斷地提供任務(wù),才能充分發(fā)揮流水線的效率。(5) 流水線需要有通過時(shí)間和排空時(shí)間。在這兩個(gè)時(shí)間段中,流水線都不是滿負(fù)荷工作。3.6 解決流水線瓶頸問題有哪兩種常用方法?答:細(xì)分瓶頸段與重復(fù)設(shè)置瓶頸段 3.7 減少流水線分支延遲的靜態(tài)方法有哪些?答:(1)預(yù)測分支失?。貉厥〉姆种Ю^續(xù)處理指令,就好象什么都沒發(fā)生似的。當(dāng)確定分支是失敗時(shí),說明預(yù)測正確,流水線正常流動;當(dāng)確定分支是成功時(shí),流水線就把在分支指令之后取出的指令轉(zhuǎn)化為空操作,并按分支目標(biāo)地址重新取指令執(zhí)行。(2)預(yù)測分支成功:當(dāng)流水線ID段檢測到分支指令后,一旦計(jì)算出了分支目標(biāo)地址,就開始從該目標(biāo)地址取指令執(zhí)行。(3)延遲分支:主要思想是從邏輯上“延長”分支指令的執(zhí)行時(shí)間。把延遲分支看成是由原來的分支指令和若干個(gè)延遲槽構(gòu)成。不管分支是否成功,都要按順序執(zhí)行延遲槽中的指令。3種方法的共同特點(diǎn):它們對分支的處理方法在程序的執(zhí)行過程中始終是不變的。它們要么總是預(yù)測分支成功,要么總是預(yù)測分支失敗。3.8 簡述延遲分支方法中的三種調(diào)度策略的優(yōu)缺點(diǎn)。調(diào)度策略對調(diào)度的要求對流水線性能改善的影響從前調(diào)度分支必須不依賴于被調(diào)度的指令總是可以有效提高流水線性能從目標(biāo)處調(diào)度如果分支轉(zhuǎn)移失敗,必須保證被調(diào)度的指令對程序的執(zhí)行沒有影響,可能需要復(fù)制被調(diào)度指令分支轉(zhuǎn)移成功時(shí),可以提高流水線性能。但由于復(fù)制指令,可能加大程序空間從失敗處調(diào)度如果分支轉(zhuǎn)移成功,必須保證被調(diào)度的指令對程序的執(zhí)行沒有影響分支轉(zhuǎn)移失敗時(shí),可以提高流水線性能3.9列舉出下面循環(huán)中的所有相關(guān),包括輸出相關(guān)、反相關(guān)、真相關(guān)。for (i=2; i<100; i=i+1)ai=bi+ai;/* s1 */ci+1=ai+di; /* s2 */ai-1=2*bi; /* s3 */bi+1=2*bi;/* s4 */解:展開循環(huán)兩次:ai = bi + ai; /* s1 */ci+1 = ai + di; /* s2 */ai-1 = 2 * bi; /* s3 */bi+1 = 2 * bi; /* s4 */ai+1 = bi+1 + ai+1; /* s1 */ci+2 = ai+1 + di+1; /* s2 */ai = 2 * bi+1; /* s3 */bi+2 = 2 * bi+1; /* s4 */輸出相關(guān):無反相關(guān):無真相關(guān):S1&S2由于循環(huán)引入的相關(guān):S4&S4(真相關(guān))、S1&S4(真相關(guān))、S3&S4(真相關(guān))、S1&S3(輸出相關(guān)、反相關(guān))、S2&S3(反相關(guān))。3.10 簡述三種向量處理方式,它們對向量處理機(jī)的結(jié)構(gòu)要求有何不同?答 (1)橫向處理方式:若向量長度為N,則水平處理方式相當(dāng)于執(zhí)行N次循環(huán)。若使用流水線,在每次循環(huán)中可能出現(xiàn)數(shù)據(jù)相關(guān)和功能轉(zhuǎn)換,不適合對向量進(jìn)行流水處理。 (2)縱向處理方式:將整個(gè)向量按相同的運(yùn)算處理完畢之后,再去執(zhí)行其他運(yùn)算。適合對向量進(jìn)行流水處理,向量運(yùn)算指令的源/目向量都放在存儲器內(nèi),使得流水線運(yùn)算部件的輸入、輸出端直接與存儲器相聯(lián),構(gòu)成M-M型的運(yùn)算流水線。 (3)縱橫處理方式:把長度為N的向量分為若干組,每組長度為n,組內(nèi)按縱向方式處理,依次處理各組,組數(shù)為N/n,適合流水處理??稍O(shè)長度為n的向量寄存器,使每組向量運(yùn)算的源/目向量都在向量寄存器中,流水線的運(yùn)算部件輸入、輸出端與向量寄存器相聯(lián),構(gòu)成R-R型運(yùn)算流水線。3.11 可采用哪些方法來提高向量處理機(jī)的性能?答:可采用多種方法:(1) 設(shè)置多個(gè)功能部件,使它們并行工作;(2) 采用鏈接技術(shù),加快一串向量指令的執(zhí)行;(3) 采用循環(huán)開采技術(shù),加快循環(huán)的處理;(4) 采用多處理機(jī)系統(tǒng),進(jìn)一步提高性能。3.12 有一指令流水線如下所示(1) 求連續(xù)輸入10條指令,該流水線的實(shí)際吞吐率和效率;(2) 該流水線的“瓶頸”在哪一段?請采取兩種不同的措施消除此“瓶頸”。對于你所給出的兩種新的流水線,連續(xù)輸入10條指令時(shí),其實(shí)際吞吐率和效率各是多少?解:(1)(2)瓶頸在3、4段。n 變成八級流水線(細(xì)分)n 重復(fù)設(shè)置部件123-13-24-14-24-34-43.13有一個(gè)流水線由4段組成,其中每當(dāng)流經(jīng)第3段時(shí),總要在該段循環(huán)一次,然后才能流到第4段。如果每段經(jīng)過一次所需要的時(shí)間都是,問:(1) 當(dāng)在流水線的輸入端連續(xù)地每時(shí)間輸入任務(wù)時(shí),該流水線會發(fā)生什么情況?(2) 此流水線的最大吞吐率為多少?如果每輸入一個(gè)任務(wù),連續(xù)處理10個(gè)任務(wù)時(shí)的實(shí)際吞吐率和效率是多少?(3) 當(dāng)每段時(shí)間不變時(shí),如何提高該流水線的吞吐率?仍連續(xù)處理10個(gè)任務(wù)時(shí),其吞吐率提高多少?解:(1)會發(fā)生流水線阻塞情況。第1個(gè)任務(wù)S1S2S3S3S4第2個(gè)任務(wù)S1S2stallS3S3S4第3個(gè)任務(wù)S1stallS2stallS3S3S4第4個(gè)任務(wù)S1stallS2stallS3S3S4(2)(3)重復(fù)設(shè)置部件吞吐率提高倍數(shù)1.643.14 有一條靜態(tài)多功能流水線由5段組成,加法用1、3、4、5段,乘法用1、2、5段,第3段的時(shí)間為2t,其余各段的時(shí)間均為t,而且流水線的輸出可以直接返回輸入端或暫存于相應(yīng)的流水寄存器中?,F(xiàn)要在該流水線上計(jì)算 ,畫出其時(shí)空圖,并計(jì)算其吞吐率、加速比和效率。解:首先,應(yīng)選擇適合于流水線工作的算法。對于本題,應(yīng)先計(jì)算A1B1、A2B2、A3B3和A4B4;再計(jì)算(A1B1) ×(A2B2)和(A3B3) ×(A4B4);然后求總的結(jié)果。其次,畫出完成該計(jì)算的時(shí)空圖,如圖所示,圖中陰影部分表示該段在工作。由圖可見,它在18個(gè)t時(shí)間中,給出了7個(gè)結(jié)果。所以吞吐率為: 如果不用流水線,由于一次求積需3t,一次求和需5t,則產(chǎn)生上述7個(gè)結(jié)果共需(4×5+3×3)t =29t。所以加速比為: 該流水線的效率可由陰影區(qū)的面積和5個(gè)段總時(shí)空區(qū)的面積的比值求得: 3.15 動態(tài)多功能流水線由6個(gè)功能段組成,如下圖:其中,S1、S4、S5、S6組成乘法流水線,S1、S2、S3、S6組成加法流水線,各個(gè)功能段時(shí)間均為50ns,假設(shè)該流水線的輸出結(jié)果可以直接返回輸入端,而且設(shè)置有足夠的緩沖寄存器,若以最快的方式用該流水計(jì)算:(1) 畫出時(shí)空圖;(2) 計(jì)算實(shí)際的吞吐率、加速比和效率。解:機(jī)器一共要做10次乘法,4次加法。3.16 在MIPS流水線上運(yùn)行如下代碼序列:LOOP: LW R1,0(R2) DADDIU R1,R1,#1 SW R1, 0(R2) DADDIU R2,R2,#4 DSUB R4,R3,R2 BNEZ R4,LOOP 其中:R3的初值是R2+396。假設(shè):在整個(gè)代碼序列的運(yùn)行過程中,所有的存儲器訪問都是命中的,并且在一個(gè)時(shí)鐘周期中對同一個(gè)寄存器的讀操作和寫操作可以通過寄存器文件“定向”。問:(1) 在沒有任何其它定向(或旁路)硬件的支持下,請畫出該指令序列執(zhí)行的流水線時(shí)空圖。假設(shè)采用排空流水線的策略處理分支指令,且所有的存儲器訪問都命中Cache,那么執(zhí)行上述循環(huán)需要多少個(gè)時(shí)鐘周期?(2) 假設(shè)該流水線有正常的定向路徑,請畫出該指令序列執(zhí)行的流水線時(shí)空圖。假設(shè)采用預(yù)測分支失敗的策略處理分支指令,且所有的存儲器訪問都命中Cache,那么執(zhí)行上述循環(huán)需要多少個(gè)時(shí)鐘周期?(3) 假設(shè)該流水線有正常的定向路徑和一個(gè)單周期延遲分支,請對該循環(huán)中的指令進(jìn)行調(diào)度,你可以重新組織指令的順序,也可以修改指令的操作數(shù),但是注意不能增加指令的條數(shù)。請畫出該指令序列執(zhí)行的流水線時(shí)空圖,并計(jì)算執(zhí)行上述循環(huán)所需要的時(shí)鐘周期數(shù)。解:寄存器讀寫可以定向,無其他旁路硬件支持。排空流水線。第i次迭代(i0.98)開始周期:1(i×17)總的時(shí)鐘周期數(shù):(98×17)181684有正常定向路徑,預(yù)測分支失敗。第i次迭代(i0.98)開始周期:1(i×10)總的時(shí)鐘周期數(shù):(98×10)11991有正常定向路徑。單周期延遲分支。LOOP: LW R1,0(R2)DADDIU R2,R2,#4DADDIU R1,R1,#1DSUB R4,R3,R2BNEZ R4,LOOPSW R1,-4(R2)第i次迭代(i 0.98)開始周期:1(i ×6 )總的時(shí)鐘周期數(shù):(98×6)105983.17 假設(shè)各種分支指令數(shù)占所有指令數(shù)的百分比如下:條件分支20%(其中的60%是分支成功的)跳轉(zhuǎn)和調(diào)用5%現(xiàn)有一條段數(shù)為4的流水線,無條件分支在第二個(gè)時(shí)鐘周期結(jié)束時(shí)就被解析出來,而條件分支要到第三個(gè)時(shí)鐘周期結(jié)束時(shí)才能夠被解析出來。第一個(gè)流水段是完全獨(dú)立于指令類型的,即所有類型的指令都必須經(jīng)過第一個(gè)流水段的處理。請問在沒有任何控制相關(guān)的情況下,該流水線相對于存在上述控制相關(guān)情況下的加速比是多少?解:沒有控制相關(guān)時(shí)流水線的平均CPI1存在控制相關(guān)時(shí):由于無條件分支在第二個(gè)時(shí)鐘周期結(jié)束時(shí)就被解析出來,而條件分支要到第3個(gè)時(shí)鐘周期結(jié)束時(shí)才能被解析出來。所以:(1)若使用排空流水線的策略,則對于條件分支,有兩個(gè)額外的stall,對無條件分支,有一個(gè)額外的stall:CPI = 1+20%*2+5%*1 = 1.45 加速比S=CPI/1 = 1.45(2) 若使用預(yù)測分支成功策略,則對于不成功的條件分支,有兩個(gè)額外的stall,對無條件分支和成功的條件分支,有一個(gè)額外的stall 1:CPI = 1+20%*(60%*1+40%*2) +5%*1 = 1.33 加速比S=CPI/1 = 1.33(3)若使用預(yù)測分支失敗策略,則對于成功的條件分支,有兩個(gè)額外的stall;對無條件分支,有一個(gè)額外的stall;對不成功的條件分支,其目標(biāo)地址已經(jīng)由PC 值給出,不必等待,所以無延遲:CPI = 1+20%*(60%*2 + 40%*0) +5%*1 = 1.29 加速比S=CPI/1 = 1.293.18 在CRAY-1機(jī)器上,按照鏈接方式執(zhí)行下述4條向量指令(括號中給出了相應(yīng)功能部件的執(zhí)行時(shí)間),如果向量寄存器和功能部件之間的數(shù)據(jù)傳送需要1拍,試求此鏈接流水線的通過時(shí)間是多少拍?如果向量長度為64,則需多少拍才能得到全部結(jié)果? V0存儲器 (從存儲器中取數(shù):7拍) V2V0+V1 (向量加:3拍) V3V2<A3 (按(A3)左移:4拍) V5V3V4 (向量邏輯乘:2拍)解:通過時(shí)間就是每條向量指令的第一個(gè)操作數(shù)執(zhí)行完畢需要的時(shí)間,也就是各功能流水線由空到滿的時(shí)間,具體過程如下圖所示。要得到全部結(jié)果,在流水線充滿之后,向量中后繼操作數(shù)繼續(xù)以流水方式執(zhí)行,直到整組向量執(zhí)行完畢。3.19 某向量處理機(jī)有16個(gè)向量寄存器,其中V0V5中分別放有向量A、B、C、D、E、F,向量長度均為8,向量各元素均為浮點(diǎn)數(shù);處理部件采用兩條單功能流水線,加法功能部件時(shí)間為2拍,乘法功能部件時(shí)間為3拍。采用類似于CARY-1的鏈接技術(shù),先計(jì)算(A+B)*C,在流水線不停流的情況下,接著計(jì)算(D+E)*F。(1) 求此鏈接流水線的通過時(shí)間?(設(shè)寄存器入、出各需1拍)(2) 假如每拍時(shí)間為50ns,完成這些計(jì)算并把結(jié)果存進(jìn)相應(yīng)寄存器,此處理部件的實(shí)際吞吐率為多少M(fèi)FLOPS?解:(1)我們在這里假設(shè)AB的中間結(jié)果放在V6中,(AB)×C地最后結(jié)果放在V7中,DE地中間結(jié)果放在V8中,(DE)×F的最后結(jié)果放在V9中。具體實(shí)現(xiàn)參考下圖:通過時(shí)間應(yīng)該為前者(AB)×C)通過的時(shí)間:T通過= (1+2+1)+(1+3+1) =9(拍)(2)在做完(AB)×C之后,作(CD)×E就不需要通過時(shí)間了。V6AB V7V6×C V8DE V9V8×F7章 互連網(wǎng)絡(luò)7.1 解釋以下術(shù)語線路交換:在線路交換中,源結(jié)點(diǎn)和目的結(jié)點(diǎn)之間的物理通路在整個(gè)數(shù)據(jù)傳送期間一直保持連接。分組交換:把信息分割成許多組(又稱為包),將它們分別送入互連網(wǎng)絡(luò)。這些數(shù)據(jù)包可以通過不同的路徑傳送,到目的結(jié)點(diǎn)后再拼合出原來的數(shù)據(jù),結(jié)點(diǎn)之間不存在固定連接的物理通路。靜態(tài)互連網(wǎng)絡(luò):各結(jié)點(diǎn)之間有固定的連接通路、且在運(yùn)行中不能改變的網(wǎng)絡(luò)。動態(tài)互連網(wǎng)絡(luò):由交換開關(guān)構(gòu)成、可按運(yùn)行程序的要求動態(tài)地改變連接狀態(tài)的網(wǎng)絡(luò)?;ミB網(wǎng)絡(luò):一種由開關(guān)元件按照一定的拓?fù)浣Y(jié)構(gòu)和控制方式構(gòu)成的網(wǎng)絡(luò),用來實(shí)現(xiàn)計(jì)算機(jī)系統(tǒng)中結(jié)點(diǎn)之間的相互連接。在拓?fù)渖?,互連網(wǎng)絡(luò)是輸入結(jié)點(diǎn)到輸出結(jié)點(diǎn)之間的一組互連或映象。互連函數(shù):用變量x表示輸入,用函數(shù)f(x)表示輸出。則f(x)表示:在互連函數(shù)f的作用下,輸入端x連接到輸出端f(x)。它反映了網(wǎng)絡(luò)輸入端數(shù)組和輸出端數(shù)組之間對應(yīng)的置換關(guān)系或排列關(guān)系,所以互連函數(shù)有時(shí)也稱為置換函數(shù)或排列函數(shù)。網(wǎng)絡(luò)直徑:指互連網(wǎng)絡(luò)中任意兩個(gè)結(jié)點(diǎn)之間距離的最大值。結(jié)點(diǎn)度:指互連網(wǎng)絡(luò)中結(jié)點(diǎn)所連接的邊數(shù)(通道數(shù))。等分帶寬:把由N個(gè)結(jié)點(diǎn)構(gòu)成的網(wǎng)絡(luò)切成結(jié)點(diǎn)數(shù)相同(N/2)的兩半,在各種切法中,沿切口邊數(shù)的最小值。對稱網(wǎng)絡(luò):從任意結(jié)點(diǎn)來看,網(wǎng)絡(luò)的結(jié)構(gòu)都是相同的。7.2 試比較可用于動態(tài)互連的總線、交叉開關(guān)和多級互連網(wǎng)絡(luò)的硬件復(fù)雜度和帶寬。答:總線互連的復(fù)雜性最低,成本也是最低。其缺點(diǎn)是每臺處理機(jī)可用的帶寬較窄。交叉開關(guān)是最昂貴的,因?yàn)槠溆布?fù)雜性以n2上升,所以其成本最高。但是交叉開關(guān)的帶寬和尋徑性能最好。當(dāng)網(wǎng)絡(luò)的規(guī)模較小時(shí),它是一種理想的選擇。多級互連網(wǎng)絡(luò)的復(fù)雜度和帶寬介于總線和交叉開關(guān)之間,是一種折中方案。其主要優(yōu)點(diǎn)是采用模塊化結(jié)構(gòu),可擴(kuò)展性較好。不過,其時(shí)延隨網(wǎng)絡(luò)級數(shù)的增加而上升。另外,由于其硬件復(fù)雜度比總線高很多,其成本也不低。7.3 設(shè)E為交換函數(shù),S為均勻洗牌函數(shù),B為蝶式函數(shù),PM2I為移數(shù)函數(shù),函數(shù)的自變量是十進(jìn)制數(shù)表示的處理機(jī)編號?,F(xiàn)有32臺處理機(jī),其編號為0,1,2,31。(1)分別計(jì)算下列互連函數(shù)E2(12) S(8) B(9) PM2I+3(28) E0(S(4) S(E0(18)(2)用E0和S構(gòu)成均勻洗牌交換網(wǎng)(每步只能使用E0和S一次),網(wǎng)絡(luò)直徑是多少?從5號處理機(jī)發(fā)送數(shù)據(jù)到7號處理機(jī),最短路徑要經(jīng)過幾步?請列出經(jīng)過的處理機(jī)編號。(3)采用移數(shù)網(wǎng)絡(luò)構(gòu)成互連網(wǎng),網(wǎng)絡(luò)直徑是多少?結(jié)點(diǎn)度是多少?與2號處理機(jī)距離最遠(yuǎn)的是幾號處理機(jī)?解:(1)共有32個(gè)處理機(jī),表示處理機(jī)號的二進(jìn)制地址應(yīng)為5位。E2(12)E2(01100)01000(8)S(8)S(01000)10000(16)B(9)B(01001)11000(24)PM2I+3(28)2823 mod32 4E0(S(4)E0(S(00100)01001(9)S(E0(18)S(E0(10010)S(10011)00111(7)(2)2n個(gè)結(jié)點(diǎn)的均勻洗牌交換網(wǎng)的網(wǎng)絡(luò)直徑為2n-1,32個(gè)結(jié)點(diǎn)的均勻洗牌交換網(wǎng)的網(wǎng)絡(luò)直徑為9。從5號處理機(jī)發(fā)送數(shù)據(jù)到7號處理機(jī),最短路徑要經(jīng)過6步:00101001000100001001100101001100111(3)網(wǎng)絡(luò)直徑是3,結(jié)點(diǎn)度是9,與2號處理機(jī)距離最遠(yuǎn)的是13、15、21、23號處理機(jī)。7.7 具有N=2n 個(gè)輸入端的Omega網(wǎng)絡(luò),采用單元控制。(1)N個(gè)輸入總共應(yīng)有多少種不同的排列?(2)該Omega網(wǎng)絡(luò)通過一次可以實(shí)現(xiàn)的置換總共可有多少種是不同的?(3)若N=8,計(jì)算一次通過能實(shí)現(xiàn)的置換數(shù)占全部排列的百分比。解:(1)N個(gè)輸入的不同排列數(shù)為N!。(2)N個(gè)輸入端、輸出端的Omega網(wǎng)絡(luò)有nlog2N級開關(guān)級,每級開關(guān)級有N/2個(gè)2×2的4功能開關(guān),總共有(N/2)log2N個(gè)開關(guān)。置換連接是指網(wǎng)絡(luò)的輸入端與輸出端的一對一連接,故只考慮2×2開關(guān)的2個(gè)功能狀態(tài),即直送與交叉。網(wǎng)絡(luò)采用單元控制,因此,每個(gè)開關(guān)都根據(jù)連接要求處于2個(gè)功能狀態(tài)中的一種狀態(tài),所以,由(N/2)log2N個(gè)開關(guān)組成的Omega網(wǎng)絡(luò)的開關(guān)狀態(tài)的種樹為: 一種網(wǎng)絡(luò)開關(guān)狀態(tài)實(shí)現(xiàn)Omega網(wǎng)絡(luò)的一種無沖突的置換連接,所以,一次使用Omega網(wǎng)絡(luò)可以實(shí)現(xiàn)的無沖突的置換連接有NN/2種。(3)若N=8,則一次通過能實(shí)現(xiàn)的置換數(shù)占全部排列的百分比為:7.8 用一個(gè)N=8的三級Omega網(wǎng)絡(luò)連接8個(gè)處理機(jī)(P0P7),8個(gè)處理機(jī)的輸出端分別依序連接Omega網(wǎng)絡(luò)的8個(gè)輸入端07,8個(gè)處理機(jī)的輸入端分別依序連接Omega網(wǎng)絡(luò)的8個(gè)輸出端07。如果處理機(jī)P6要把數(shù)據(jù)播送給處理機(jī)P0P4,處理機(jī)P3要把數(shù)據(jù)播送給處理機(jī)P5P7,那么,Omega網(wǎng)絡(luò)能否同時(shí)為它們的播送要求實(shí)現(xiàn)連接?畫出實(shí)現(xiàn)播送的Omega網(wǎng)絡(luò)的開關(guān)狀態(tài)圖。解:Omega網(wǎng)絡(luò)使用的2×2開關(guān)有4種狀態(tài):直送、交叉、上播、下播。置換連接只使用直送和交叉狀態(tài),播送連接還需要使用上播和下播狀態(tài)。分別畫出實(shí)現(xiàn)處理機(jī)P6和P3的播送連接要求使用的開關(guān)狀態(tài),如果沒有開關(guān)狀態(tài)和開關(guān)輸出端爭用沖突,就可以使用播送連接。實(shí)際上,它們的播送要求沒有沖突,因此,可以同時(shí)實(shí)現(xiàn),同時(shí)實(shí)現(xiàn)的Omega網(wǎng)絡(luò)開關(guān)狀態(tài)圖如下所示。7.9試證明多級Omega網(wǎng)絡(luò)采用不同大小構(gòu)造塊構(gòu)造時(shí)所具有的下列特性:(1) 一個(gè)k×k開關(guān)模塊的合法狀態(tài)(連接)數(shù)目等于kk。(2) 試計(jì)算用2×2開關(guān)模塊構(gòu)造的64個(gè)輸入端的Omega網(wǎng)絡(luò)一次通過所能實(shí)現(xiàn)置換的百分比。(3) 采用8×8開關(guān)模塊構(gòu)造64個(gè)輸入端的Omega網(wǎng)絡(luò),重復(fù)(2)。(4) 采用8×8開關(guān)模塊構(gòu)造512個(gè)輸入端的Omega網(wǎng)絡(luò),重復(fù)(2)。解:(1)一個(gè)k×k開關(guān)的合法狀態(tài)或合法連接有: 一個(gè)輸入端連接一個(gè)輸出端,即一對一的置換連接; 一個(gè)輸入端連接多個(gè)或全部輸出端,即一對多的選播連接或一對全體的廣播連接。兩個(gè)或兩個(gè)以上的輸入端連接一個(gè)輸出端是非法連接。因此,某個(gè)輸出端可被連接到任意一個(gè)輸入端的連接有k種,無論這個(gè)輸出端是被置換連接還是被播送連接。k個(gè)輸出端被連接到輸入端的合法連接的數(shù)量為:(2)用k×k開關(guān)模塊構(gòu)造N個(gè)輸入端的Omega網(wǎng)絡(luò)時(shí),開關(guān)級數(shù)為nlogkN,每級開關(guān)模塊數(shù)為N/k,網(wǎng)絡(luò)的開關(guān)模塊總數(shù)為(N/k)logkN。一個(gè)k×k開關(guān)一對一連接的合法狀態(tài)只有k種,所有開關(guān)都是一對一連接的合法狀態(tài)才能實(shí)現(xiàn)一種一次使用網(wǎng)絡(luò)的無沖突置換連接。因此,由(N/k)logkN個(gè)k×k開關(guān)組成的Omega網(wǎng)絡(luò)一次使用的無沖突置換連接函數(shù)為:網(wǎng)絡(luò)可以實(shí)現(xiàn)的置換連接數(shù)即為N個(gè)輸出端的不同排序的排序數(shù),即為N!,所以,Omega網(wǎng)使用一次實(shí)現(xiàn)的無沖突置換連接數(shù)占可以實(shí)現(xiàn)的置換連接數(shù)的比例為:若采用2×2開關(guān)模塊構(gòu)造的64個(gè)輸入端的Omega網(wǎng)絡(luò),即有k=2,N=64,則Omega網(wǎng)使用一次實(shí)現(xiàn)置換連接的比例為:(3)若采用8×8開關(guān)模塊構(gòu)造64個(gè)輸入端的Omega網(wǎng)絡(luò),即有k=8,N=64,則Omega網(wǎng)使用一次實(shí)現(xiàn)置換連接的比例為:(4)若采用8×8開關(guān)模塊構(gòu)造512個(gè)輸入端的Omega網(wǎng)絡(luò),即有k=8,N=512,則Omega網(wǎng)使用一次實(shí)現(xiàn)置換連接的比例為:第8章 多處理機(jī)8.1 解釋以下術(shù)語集中式共享多處理機(jī):也稱為對稱式共享存儲器多處理SMP。它一般由幾十個(gè)處理器構(gòu)成,各處理器共享一個(gè)集中式的物理存儲器,這個(gè)主存相對于各處理器的關(guān)系是對稱的,分布式共享多處理機(jī):它的共享存儲器分布在各臺處理機(jī)中,每臺處理機(jī)都帶有自己的本地存儲器,組成一個(gè)“處理機(jī)-存儲器”單元。但是這些分布在各臺處理機(jī)中的實(shí)際存儲器又合在一起統(tǒng)一編址, 在邏輯上組成一個(gè)共享存儲器。這些處理機(jī)存儲器單元通過互連網(wǎng)絡(luò)連接在一起 ,每臺處理機(jī)除了能訪問本地存儲器外,還能通過互連網(wǎng)絡(luò)直接訪問在其他處理機(jī)存儲器單元中的 “遠(yuǎn)程存儲器”。通信延遲:通信延遲發(fā)送開銷跨越時(shí)間傳輸時(shí)間接收開銷。計(jì)算/通信比:反映并行程序性能的一個(gè)重要的度量。在并行計(jì)算中,每次數(shù)據(jù)通信要進(jìn)行的計(jì)算與通信開銷的比值。多Cache一致性:多處理機(jī)中,當(dāng)共享數(shù)據(jù)進(jìn)入Cache,就可能出現(xiàn)多個(gè)處理器的Cache中都有同一存儲器塊的副本,要保證多個(gè)副本數(shù)據(jù)是一致的。監(jiān)聽協(xié)議:每個(gè)Cache除了包含物理存儲器中塊的數(shù)據(jù)拷貝之外,也保存著各個(gè)塊的共享狀態(tài)信息。Cache通常連在共享存儲器的總線上,各個(gè)Cache控制器通過監(jiān)聽總線來判斷它們是否有總線上請求的數(shù)據(jù)塊。目錄協(xié)議:用一種專用的存儲器所記錄的數(shù)據(jù)結(jié)構(gòu)。它記錄著可以進(jìn)入Cache的每個(gè)數(shù)據(jù)塊的訪問狀態(tài)、該塊在各個(gè)處理器的共享狀態(tài)以及是否修改過等信息。寫作廢協(xié)議:在處理器對某個(gè)數(shù)據(jù)項(xiàng)進(jìn)行寫入之前,它擁有對該數(shù)據(jù)項(xiàng)的唯一的訪問權(quán)。寫更新協(xié)議:當(dāng)一個(gè)處理器對某數(shù)據(jù)項(xiàng)進(jìn)行寫入時(shí),它把該新數(shù)據(jù)廣播給所有其它Cache。這些Cache用該新數(shù)據(jù)對其中的副本進(jìn)行更新。柵欄同步:柵欄強(qiáng)制所有到達(dá)該柵欄

注意事項(xiàng)

本文(計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)課后習(xí)題答案.doc)為本站會員(最***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!