九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

新版數(shù)字電路實(shí)驗(yàn)指導(dǎo)書.doc

  • 資源ID:1574373       資源大?。?span id="24d9guoke414" class="font-tahoma">2.74MB        全文頁(yè)數(shù):53頁(yè)
  • 資源格式: DOC        下載積分:32積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要32積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

新版數(shù)字電路實(shí)驗(yàn)指導(dǎo)書.doc

數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo)書適用專業(yè):電子信息工程、應(yīng)用電子浙江師范大學(xué)電工電子實(shí)驗(yàn)教學(xué)中心馮根良 張長(zhǎng)江目 錄實(shí)驗(yàn)項(xiàng)目實(shí)驗(yàn)一 門電路邏輯功能的測(cè)試驗(yàn)證型(1)實(shí)驗(yàn)二 組合邏輯電路(半加器全加器及邏輯運(yùn)算)驗(yàn)證型(7)實(shí)驗(yàn)三 組合邏輯電路(譯碼器和數(shù)據(jù)選擇器)驗(yàn)證型(13)實(shí)驗(yàn)四 觸發(fā)器驗(yàn)證型(17)實(shí)驗(yàn)五 時(shí)序電路(計(jì)數(shù)器、移位寄存器)驗(yàn)證型(22)實(shí)驗(yàn)六 組合邏輯電路的設(shè)計(jì)和邏輯功能驗(yàn)證設(shè)計(jì)型(27)實(shí)驗(yàn)七 D/A-A/D轉(zhuǎn)換器設(shè)計(jì)型(34)實(shí)驗(yàn)八 555定時(shí)的應(yīng)用設(shè)計(jì)型(41)實(shí)驗(yàn)九 集成電路多種計(jì)數(shù)器綜合應(yīng)用綜合型(46)實(shí)驗(yàn)一 門電路邏輯功能及測(cè)試一、實(shí)驗(yàn)?zāi)康?. 熟悉門電路的邏輯功能、邏輯表達(dá)式、邏輯符號(hào)、等效邏輯圖。2. 掌握數(shù)字電路實(shí)驗(yàn)箱及示波器的使用方法。3、學(xué)會(huì)檢測(cè)基本門電路的方法。二、實(shí)驗(yàn)儀器及材料1、儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件:74LS00 二輸入端四與非門 2片74LS20 四輸入端雙與非門 1片74LS86 二輸入端四異或門 1片三、預(yù)習(xí)要求1. 預(yù)習(xí)門電路相應(yīng)的邏輯表達(dá)式。2. 熟悉所用集成電路的引腳排列及用途。四、實(shí)驗(yàn)內(nèi)容及步驟實(shí)驗(yàn)前按數(shù)字電路實(shí)驗(yàn)箱使用說(shuō)明書先檢查電源是否正常,然后選擇實(shí)驗(yàn)用的集成塊芯片插入實(shí)驗(yàn)箱中對(duì)應(yīng)的IC座,按自己設(shè)計(jì)的實(shí)驗(yàn)接線圖接好連線。注意集成塊芯片不能插反。線接好后經(jīng)實(shí)驗(yàn)指導(dǎo)教師檢查無(wú)誤方可通電實(shí)驗(yàn)。實(shí)驗(yàn)中改動(dòng)接線須先斷開電源,接好線后再通電實(shí)驗(yàn)。1.與非門電路邏輯功能的測(cè)試圖 1.1(1)選用雙四輸入與非門74LS20一片,插入數(shù)字電路實(shí)驗(yàn)箱中對(duì)應(yīng)的IC座,按圖1.1接線、輸入端1、2、4、5、分別接到K1K4的邏輯開關(guān)輸出插口,輸出端接電平顯示發(fā)光二極管D1D4任意一個(gè)。(2)將邏輯開關(guān)按表1.1的狀態(tài),分別測(cè)輸出電壓及邏輯狀態(tài)。表1.1輸入輸出1(k1)2(k2)4(k3)5(k4)Yzhe電壓值(v)zhi(V)HHHHLHHHLLHHLLLHLLLL2. 異或門邏輯功能的測(cè)試 圖 1.2(1)選二輸入四異或門電路74LS86,按圖1.2接線,輸入端1、2、4、5接邏輯開關(guān)(K1K4),輸出端A、B、Y接電平顯示發(fā)光二極管。(2)將邏輯開關(guān)按表1.2的狀態(tài),將結(jié)果填入表中。表1.2輸入輸出1(K1)2(K2)4(K3)5(K4)ABY電壓(V)LHHHHLLLHHHHLLLHHLLLLLHH3. 邏輯電路的邏輯關(guān)系測(cè)試表1.3輸入輸出ABYLLHHLHLH(1)用74LS00、按圖1.3,1.4接線,將輸入輸出邏輯關(guān)系分別填入表1.3、表1.4中。圖 1.3表1.4輸入輸出ABYZLLHHLHLH圖 1.4(2)寫出上面兩個(gè)電路邏輯表達(dá)式,并畫出等效邏輯圖。4. 利用與非門控制輸出(選做)圖 1.5用一片74LS00按圖1.5接線,S接任一電平開關(guān),用示波器觀察S對(duì)輸出脈沖的控制作用。5. 用與非門組成其它邏輯門電路,并驗(yàn)證其邏輯功能。(1)組成與門電路由與門的邏輯表達(dá)式Z=A·B= 得知,可以用兩個(gè)與非門組成與門,其中一個(gè)與非門用作反相器。 將與門及其邏輯功能驗(yàn)證的實(shí)驗(yàn)原理圖畫在表1.5中,按原理圖聯(lián)線,檢查無(wú)誤后接通電源。當(dāng)輸入端A、B為表1.5的情況時(shí),分別測(cè)出輸出端Y的電壓或用LED發(fā)光管監(jiān)視其邏輯狀態(tài),并將結(jié)果記錄表中,測(cè)試完畢后斷開電源。表1.5 用與非門組成與門電路實(shí)驗(yàn)數(shù)據(jù)邏輯功能測(cè)試實(shí)驗(yàn)原理圖輸入輸出 YAB電壓邏輯值表1.6 用與非門組成或門電路實(shí)驗(yàn)數(shù)據(jù)邏輯功能測(cè)試實(shí)驗(yàn)原理圖輸入輸出YAB電壓邏輯值(2)組成或門電路根據(jù)De. Morgan定理,或門的邏輯函數(shù)表達(dá)式Z=A+B可以寫成Z,因此,可以用三個(gè)與非門組成或門。將或門及其邏輯功能驗(yàn)證的實(shí)驗(yàn)原理圖畫在表1.6中,按原理圖聯(lián)線,檢查無(wú)誤后接通電源。當(dāng)輸入端A、B為表1.6的情況時(shí),分別測(cè)出輸出端Y的電壓或用LED發(fā)光管監(jiān)視其邏輯狀態(tài),并將結(jié)果記錄表中,測(cè)試完畢后斷開電源。(3)組成或非門電路 或非門的邏輯函數(shù)表達(dá)式Z= ,根據(jù)De. Morgan定理,可以寫成Z=·=,因此,可以用四個(gè)與非門構(gòu)成或非門。將或非門及其邏輯功能驗(yàn)證的實(shí)驗(yàn)原理圖畫在表1.7中,按原理圖聯(lián)線,檢查無(wú)誤后接通電源。當(dāng)輸入端A、B為表1.7的情況時(shí),分別測(cè)出輸出端Y的電壓或用LED發(fā)光管監(jiān)視其邏輯狀態(tài),并將結(jié)果記錄表中,測(cè)試完畢后斷開電源。表1.7用與非門組成或非門電路實(shí)驗(yàn)數(shù)據(jù)邏輯功能測(cè)試實(shí)驗(yàn)原理圖輸入輸出YAB電壓邏輯值表1.8用與非門組成異或門電路實(shí)驗(yàn)數(shù)據(jù)邏輯功能測(cè)試實(shí)驗(yàn)原理圖輸入輸出YAB電壓邏輯值(4)組成異或門電路(選做)異或門的邏輯表達(dá)式Z=A +B = ,由表達(dá)式得知,我們可以用五個(gè)與非門組成異或門。但根據(jù)沒(méi)有輸入反變量的邏輯函數(shù)的化簡(jiǎn)方法,有·B=(+)·B=·B,同理有A=A·(+)=A·,因此Z=A+B=,可由四個(gè)與非門組成。將異或門及其邏輯功能驗(yàn)證的實(shí)驗(yàn)原理圖畫在表1.8中,按原理圖聯(lián)線,檢查無(wú)誤后接通電源。當(dāng)輸入端A、B為表1.8的情況時(shí),分別測(cè)出輸出端Y的電壓或用LED發(fā)光管監(jiān)視其邏輯狀態(tài),并將結(jié)果記錄表中,測(cè)試完畢后斷開電源。五、實(shí)驗(yàn)報(bào)告1. 按各步聚要求填表并畫邏輯圖。2. 回答問(wèn)題。(1)怎樣判斷門電路邏輯功能是否正常?(2)與非門一個(gè)輸入接連續(xù)脈沖,其余端什么狀態(tài)時(shí)允許脈沖通過(guò)?什么狀態(tài)時(shí)禁止脈沖通過(guò)?(3)異或門又稱可控反相門,為什么?51實(shí)驗(yàn)二 組合邏輯電路(半加器、全加器)一、實(shí)驗(yàn)?zāi)康?1. 掌握組合邏輯電路的功能測(cè)試。 2. 驗(yàn)證半加器和全加器的邏輯功能。3. 學(xué)會(huì)二進(jìn)制數(shù)的運(yùn)算規(guī)律。二、實(shí)驗(yàn)儀器及材料1、實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2 器件 74LS00 二輸入端四與非門 3片 74LS86 二輸入端四異或門 1片 74LS54 四組輸入與或非門 1片三、預(yù)習(xí)要求 1. 預(yù)習(xí)組合邏輯電路的分析方法。 2. 預(yù)習(xí)用與非門和異或門構(gòu)成的半加器、全加器的工作原理。 3. 預(yù)習(xí)二進(jìn)制數(shù)的運(yùn)算。四、實(shí)驗(yàn)內(nèi)容及步驟 1. 組合邏輯電路功能測(cè)試 (1)用2片74LS00組成圖2.1所示邏輯電路。為便于接線和檢查,在圖中要注明芯片編號(hào)及各引腳對(duì)應(yīng)的編號(hào)。圖2.1 (2)先按圖2.1寫出Y2的邏輯表達(dá)式并化簡(jiǎn)。表2.1輸入輸出ABCY1Y2000111100011100101110010(3)圖中A、B、C接邏輯開關(guān),Y1,Y2接發(fā)光管電平顯示。 (4)按表2.1要求,改變A、B、C輸入的狀態(tài),填表寫出Y1,Y2的輸出狀態(tài)。 (5)將運(yùn)算結(jié)果與實(shí)驗(yàn)結(jié)果進(jìn)行比較。2.用異或門(74LS86)和與非門組成的半加器電路根據(jù)半加器的邏輯表達(dá)式可知,半加器Y是A、B的異或,而進(jìn)位Z是A、B相與,即半加器可用一個(gè)異或門和二個(gè)與非門組成一個(gè)電路。如圖2.2。圖2.2(1)在數(shù)字電路實(shí)驗(yàn)箱上插入異或門和與非門芯片。輸入端A、B接邏輯開關(guān)k,Y,Z接發(fā)光管電平顯示。(2)按表2.2要求改變A、B狀態(tài),填表并寫出y、z邏輯表達(dá)式。表2.2輸入端A0101B0011輸出端YZ 3.全加器組合電路的邏輯功能測(cè)試(1)寫出圖2.3電路的邏輯表達(dá)式。(2)根據(jù)邏輯表達(dá)式列真值表。(3)根據(jù)真值表畫出邏輯函數(shù)S1 C1的卡諾圖。圖2.3S1 C1(4)填寫表2.3各點(diǎn)狀態(tài)表2.3A1B1C1-1YZX1X2X3S1C1000010100110001011101111(5)按原理圖選擇與非門并接線進(jìn)行測(cè)試,將測(cè)試結(jié)果記入表2.4,并與上表進(jìn)行比較看邏輯功能是否一致。4.用異或門、與或非門、與非門組成的全加器電路的邏輯功能測(cè)試全加器電路可以用兩個(gè)半加器和兩個(gè)與門一個(gè)或門組成。在實(shí)驗(yàn)中,常用一片雙異或門、一片與或非門和一片與非門來(lái)實(shí)現(xiàn)。(1)畫出用異或門、與或非門和非門實(shí)現(xiàn)全加器的邏輯電路圖,寫出邏輯表達(dá)式。(2)找出異或門、與或非門和與非門器件按自己設(shè)計(jì)畫出的電路圖接線,注意:接線時(shí)與或非門中不用的與門輸入端應(yīng)該接地。(3)當(dāng)輸入端A1 B1 C1-1為下列情況時(shí),測(cè)量S1和C1的邏輯狀態(tài)并填入表2.5。表2.4A1B1C1-1C1S1000010100110001011101111表2.5輸入端A100001111B100110011C1-101010101輸出端S1C1五、實(shí)驗(yàn)報(bào)告 1. 整理實(shí)驗(yàn)數(shù)據(jù)、圖表并對(duì)實(shí)驗(yàn)結(jié)果進(jìn)行分析討論。2. 總結(jié)全加器卡諾圖的分析方法。 3總結(jié)實(shí)驗(yàn)中出現(xiàn)的問(wèn)題和解決的辦法。實(shí)驗(yàn)三 組合電路(譯碼器和數(shù)據(jù)選擇器)一、實(shí)驗(yàn)?zāi)康?. 熟悉集成數(shù)據(jù)選擇器、譯碼器的邏輯功能及測(cè)試方法。2. 學(xué)會(huì)用集成數(shù)據(jù)選擇器、譯碼器進(jìn)行邏輯設(shè)計(jì)。二、實(shí)驗(yàn)儀器及材料1.實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件: 74LS139 2-4線譯碼器 1片 74LS153 雙4選1數(shù)據(jù)選擇器 1片 74LS00 二輸入端四與非門 1片三、實(shí)驗(yàn)內(nèi)容及步驟 1. 譯碼器功能測(cè)試將74LS139雙2-4線譯碼器按圖3.1分別輸入邏輯電平,并填寫表3、1輸出狀態(tài)。圖3-1表3.1輸入輸出使能選擇1G1B1AY0Y1Y2Y3HLLLLXLLHHXLHLH2. 譯碼器轉(zhuǎn)換將雙2-4線譯碼器轉(zhuǎn)換為3-8線譯碼器。(1)畫出轉(zhuǎn)換電路圖。(2)在實(shí)驗(yàn)箱上接線并驗(yàn)證設(shè)計(jì)是否正確。(3)設(shè)計(jì)并填表寫該3-8線譯碼器功能表。3. 數(shù)據(jù)選擇器的測(cè)試及應(yīng)用(1)將雙4選1數(shù)據(jù)選擇器74LS158參照?qǐng)D3.2接線,測(cè)試其功能并填寫3、2功能表。(2)找到實(shí)驗(yàn)箱脈沖信號(hào)源中Sc,S1兩個(gè)不同頻率的信號(hào),接到數(shù)據(jù)選擇器任意2個(gè)輸入端,將選擇端置位,使輸出端可分別觀察到Sc ,S1信號(hào)。圖3-2(3)分析上述實(shí)驗(yàn)結(jié)果并總結(jié)數(shù)據(jù)選擇器作用并畫出波形。接電平開關(guān) 接電平顯示表3.2選擇端輸入端輸出控制輸出A1 A0D0 D1 D2 D3QX XX X X XHL LL X X XLL LH X X XLL HX L X XLL HX H X XLH LX X L XLH LX X H XLH HX X X LLH HX X X HL四、實(shí)驗(yàn)報(bào)告 1. 畫出實(shí)驗(yàn)要求的波形圖。2. 畫出實(shí)驗(yàn)內(nèi)容2、3的接線圖。3. 總結(jié)譯碼器和數(shù)據(jù)選擇的使用體會(huì)。實(shí)驗(yàn)四 觸發(fā)器一、實(shí)驗(yàn)?zāi)康?1. 熟悉并掌握R-S、D、JK觸發(fā)器的特性和功能測(cè)試方法。2. 學(xué)會(huì)正確使用觸發(fā)器集成芯片。3. 了解不同邏輯功能FF相互轉(zhuǎn)換的方法。二、實(shí)驗(yàn)儀器及材料1. 實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件 74LS00 二輸入端四與非門 1片 74LS74 雙D觸發(fā)器 1片 74LS76 雙J-K觸發(fā)器 1片三、實(shí)驗(yàn)內(nèi)容及步驟1. 基本RS觸發(fā)器功能測(cè)試: 兩個(gè)TTL與非門首尾相接構(gòu)成的基本RS觸發(fā)器的電路。如圖5.1所示。(1)試按下面的順序在S R 端加信號(hào): =0 =1 =1 =1 =1 =0 =1 =1圖4.1 基本RS觸發(fā)器電路觀察并記錄觸發(fā)器的Q、端的狀態(tài),將結(jié)果填入下表4.1中,并說(shuō)明在上述各種輸入狀態(tài)下,RS執(zhí)行的是什么邏輯功能?表4.1Q邏輯功能01111101(2)端接低電平, 端加點(diǎn)動(dòng)脈沖。(3) 端接高電平,端加點(diǎn)動(dòng)脈沖。(4)令,端加脈沖。記錄并觀察(2)、(3)、(4)三種情況下,Q、端的狀態(tài)。從中你能否總結(jié)出基本RS的Q或 端的狀態(tài)改變和輸入端、 的關(guān)系。(5)當(dāng)、 都接低電平時(shí),觀察Q、 端的狀態(tài),當(dāng)、同時(shí)由低電平跳為高電平時(shí),注意觀察Q、端的狀態(tài),重復(fù)35次看Q、端的狀態(tài)是否相同,以正確理解“不定” 狀態(tài)的含義。2. 維持-阻塞型D觸發(fā)器功能測(cè)試雙D型正邊沿維持阻塞型觸發(fā)器74LS74的邏輯符號(hào)如圖4.2所示。圖中、 端為異步置1端,置0端(或稱異步置位,復(fù)位端),CP為時(shí)鐘脈沖端。試按下面步驟做實(shí)驗(yàn):(1)分別在、 端加低電平,觀察并記錄Q、端的狀態(tài)。圖4.2D邏輯符號(hào)(2)令、 端為高電平,D端分別接高,低電平,用點(diǎn)動(dòng)脈沖作為CP,觀察并記錄當(dāng)CP為0、 、1、 時(shí)Q端狀態(tài)的變化。(3)當(dāng)1、CP0(或CP1),改變D端信號(hào),觀察Q端的狀態(tài)是否變化?整理上述實(shí)驗(yàn)數(shù)據(jù),將結(jié)果填入下表4.2中。(4)令1,將D和端相連,CP加連續(xù)脈沖,用雙蹤示波器觀察并記錄Q相對(duì)于CP的波形。表4.2 CPDQnQn+10 1XX011 0XX011 10011 11011 10(1)X01CP Q3. 負(fù)邊沿J-K觸發(fā)器功能測(cè)試雙JK負(fù)邊沿觸發(fā)器74LS76芯片的邏輯符號(hào)如圖4.3所示。圖4.3 J-K邏輯符號(hào)自擬實(shí)驗(yàn)步驟,測(cè)試其功能,并將結(jié)果填入表4.3中,若令JK1時(shí),CP端加連續(xù)脈沖,用雙蹤示波器觀察QCP波形,試將D觸發(fā)器的D和端相連時(shí)觀察Q端和CP的波形并與相比較,有何異同點(diǎn)? 4. 觸發(fā)器功能轉(zhuǎn)換 (1)將D觸發(fā)器和J-K觸發(fā)器轉(zhuǎn)換成T觸發(fā)器,列出表達(dá)式,畫出實(shí)驗(yàn)電路圖。(2)接入連續(xù)脈沖,觀察各觸發(fā)器CP及Q端波形,比較兩者關(guān)系。JK TCP QD TCP Q(3)自擬實(shí)驗(yàn)數(shù)據(jù)表并填寫之。表4.3 CP J K QQn+10 1X X X X1 0X X X X1 1 0 X 01 1 1 X 01 1 X 0 11 1 X 1 1四、實(shí)驗(yàn)報(bào)告 1. 整理實(shí)驗(yàn)數(shù)據(jù)并填表。 2. 寫出實(shí)驗(yàn)內(nèi)容3、4的實(shí)驗(yàn)步驟及表達(dá)式。 3. 畫出實(shí)驗(yàn)4的電路圖及相應(yīng)表格。 4. 總結(jié)各類觸發(fā)器特點(diǎn)。實(shí)驗(yàn)五 時(shí)序電路(計(jì)數(shù)器、移位寄存器)一、實(shí)驗(yàn)?zāi)康?. 掌握常用時(shí)序電路分析,設(shè)計(jì)及測(cè)試方法。2. 訓(xùn)練獨(dú)立進(jìn)行實(shí)驗(yàn)的技能。二、實(shí)驗(yàn)儀器及材料1. 實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件 74LS112 雙J-K觸發(fā)器 2片 74LS175 四D觸發(fā)器 1片 74LS10 三輸入端三與非門 1片 74LS00 二輸入端四與非門 1片三、實(shí)驗(yàn)內(nèi)容及步驟 1. 異步二進(jìn)制計(jì)數(shù)器 (1)按圖5.1接線。將J=K=1圖5.1 (2)由CP端輸入單脈沖,測(cè)試并記錄Q1Q4,端狀態(tài)及波形。 (3)試將異步二進(jìn)制加法計(jì)數(shù)改為減法計(jì)數(shù),參考加法計(jì)數(shù)器,要求實(shí)驗(yàn)并記錄。 2. 異步二一十進(jìn)制加法計(jì)數(shù)器 (1)按圖5.2接線。 QA、QB、QC、QD4個(gè)輸出端分別接發(fā)光二極管顯示,復(fù)位端R接入單脈沖,置位端S接高電平“1”,CP端接連續(xù)脈沖。 (2)在CP端接連續(xù)脈沖,觀察CP、QA、QB、QC、QD 的波形。 (3)將上圖改成一個(gè)異步二一十進(jìn)制減法計(jì)數(shù)器,并畫出CP、QA、QB、QC、QD 的波形。圖5.2CP Q1Q2Q3Q43. 自循環(huán)移位寄存器環(huán)形計(jì)數(shù)器。(1)按圖5.3接線,將A、B、C、D置為1000.用單脈沖計(jì)數(shù),記錄各觸發(fā)器狀態(tài)。圖5.3改為連續(xù)脈沖計(jì)數(shù),并將其中一個(gè)狀態(tài)為“0”的觸發(fā)器置為“1”(模擬干擾信號(hào)作用的結(jié)果),觀察記數(shù)器能否正常工作,分析原因。(2)按圖5.4接線,與非門用74LS10三輸入端三與非門重復(fù)上述實(shí)驗(yàn),對(duì)比實(shí)驗(yàn)結(jié)果,總結(jié)關(guān)于自啟動(dòng)的體會(huì)。圖5.4四、實(shí)驗(yàn)報(bào)告1. 畫出實(shí)驗(yàn)內(nèi)容要求的波形及記錄表格。2.總結(jié)時(shí)序電路特點(diǎn)。 實(shí)驗(yàn)六 組合邏輯電路的設(shè)計(jì)和邏輯功能驗(yàn)證 一、實(shí)驗(yàn)?zāi)康?. 掌握組合邏輯電路的設(shè)計(jì)方法。2. 學(xué)會(huì)使用集成電路的邏輯功能表。 二、實(shí)驗(yàn)儀器及材料1. 數(shù)字電路實(shí)驗(yàn)箱、雙蹤示波器、數(shù)字萬(wàn)用表。2. 元器件:雙輸入與門CD4081 1片四異或門CD4070 2片四位數(shù)值比較器CD4063 1片三、注意事項(xiàng)及說(shuō)明1. CMOS門電路的電源電壓為+3V+15V,有些可達(dá)18V,實(shí)驗(yàn)前應(yīng)先驗(yàn)證或調(diào)整正確,才可給門電路通電,本實(shí)驗(yàn)可選+5V供電。2. 門電路的輸出端不可直接并聯(lián),也不可直接聯(lián)連電源+5V和電源地,否則將造成門電路永久性損壞。3. CMOS集成電路的多余輸入端不可懸空。4. 實(shí)驗(yàn)時(shí)應(yīng)認(rèn)真檢查,僅當(dāng)各條聯(lián)線全部正確無(wú)誤時(shí),方可通電。四、實(shí)驗(yàn)內(nèi)容、原理及步驟(1)設(shè)計(jì)一個(gè)一位比較器(大、同、小)的組合電路并驗(yàn)證其邏輯功能。(2)驗(yàn)證四位數(shù)值比較器的邏輯功能。(3)設(shè)計(jì)一個(gè)八位二進(jìn)制奇偶檢測(cè)器的組合電路并驗(yàn)證其邏輯功能。(4)設(shè)計(jì)一個(gè)兩位二進(jìn)制數(shù)比較器(大、同、小)的組合電路(選做)。 CD4081為四雙輸入與門;CD4070為四異或門,CD4063為四位數(shù)值比較器,它們均為CMOS集成電路。圖6-1為上述三種集成電路的引腳功能描述。圖 6.11. 一位(大、同、?。┍容^器的設(shè)計(jì)及其邏輯功能的驗(yàn)證 根據(jù)命題要求列真值表設(shè)A、B為兩個(gè)二進(jìn)制數(shù)的某一位,即比較器的輸入,M、 G、L為比較器的輸出,分別表示兩個(gè)二進(jìn)制數(shù)比較后的大、同、小結(jié)果,其邏輯功能真值表見表6-1. 寫表達(dá)式根據(jù)表6-1的真值表,并為了減少門電路的種類,我們做如下的運(yùn)算:同 大 小 畫邏輯圖 根據(jù)上述表達(dá)式,讀者可用兩個(gè)異或門和兩個(gè)與門實(shí)現(xiàn)上述的大、同、小比較器,并將邏輯圖畫在表6-1右邊的空白處。 實(shí)驗(yàn)驗(yàn)證 選CD4081、CD4070各一片,按所畫邏輯原理圖聯(lián)線,檢查無(wú)誤后接通電源。當(dāng)輸入端A、B為表6-1的情況時(shí),用三只LED發(fā)光管,分別監(jiān)視輸出端M、G、L的邏輯狀態(tài),驗(yàn)證邏輯功能的正確性。當(dāng)輸出高電平時(shí),LED發(fā)光管亮,表示邏輯值為“1”,當(dāng)輸出低電平時(shí),LED發(fā)光管滅,表示邏輯值為“0”,實(shí)驗(yàn)完畢后斷開電源。表6-1 一位比較器真值表輸入輸出說(shuō) 明ABMGL00010A = B01001A < B10100A > B11010A = B2. 四位數(shù)值比較器邏輯功能的驗(yàn)證 引腳和功能描述 CD4063為CMOS四位二進(jìn)制數(shù)值比較器集成電路,十六引腳雙列直插式封裝,所有功能引腳分三類:比較輸入端、級(jí)聯(lián)輸入端和輸出端。比較輸入端實(shí)現(xiàn)本級(jí)兩組四位二進(jìn)制數(shù)的比較;級(jí)聯(lián)輸入端則是為實(shí)現(xiàn)多級(jí)芯片的相互級(jí)聯(lián)所設(shè),當(dāng)僅使用一級(jí)比較時(shí),可將A<B、A=B和A>B三個(gè)級(jí)聯(lián)輸入端,分別接“0”、“1”、“0”;輸出端則為兩組四位二進(jìn)制數(shù)的比較輸出,有小、相等和大三種結(jié)果。其引腳描述見圖6-1,邏輯功能見表6-2. 按上述的引腳和功能描述,聯(lián)接好驗(yàn)證四位數(shù)值比較器邏輯功能的實(shí)驗(yàn)電路,檢查無(wú)誤后接通電源。當(dāng)輸入為表6-3的情況時(shí),用三只LED發(fā)光管,分別監(jiān)視其輸出端L、G、M的邏輯狀態(tài),驗(yàn)證邏輯功能的正確性國(guó)。并將結(jié)果記錄表6-3中,實(shí)驗(yàn)完畢后斷開電源。表6-2 四位數(shù)值比較器簡(jiǎn)化邏輯功能表輸 入輸出比較輸入端級(jí)聯(lián)輸入端A3 B3 A2 B2 A1 B1 A0 B0A<B A=B A>BA<B A=B A>BA3>B3 X X XA3=B3 A2>B2 X XA3=B3 A2=B2 A1>B1 XA3=B3 A2=B2 A1=B1 A0>B0X X XX X XX X XX X XL L HL L HL L HL L HA3=B3 A2=B2 A1=B1 A0=B0A3=B3 A2=B2 A1=B1 A0=B0A3=B3 A2=B2 A1=B1 A0=B0L L HL H LH L LL L HL H LH L LA3=B3 A2=B2 A1=B1 A0<B0A3=B3 A2=B2 A1=B1 XA3=B3 A2=B2 X XA3=B3 X X XX X XX X XX X XX X XH L LH L LH L LH L L表6-3 四位數(shù)值比較器邏輯功能驗(yàn)證實(shí)驗(yàn)數(shù)據(jù)表輸 入輸 出比較輸入端級(jí)聯(lián)輸入端L G MA3 A2 A1 A0 B3 B2 B1 B0A<B A=B A>BA<B A=B A>B0 0 0 0 1 0 1 00 0 0 1 1 0 1 00 0 1 0 1 0 1 00 0 1 1 1 0 1 00 1 0 0 1 0 1 00 1 0 1 1 0 1 00 1 1 0 1 0 1 00 1 1 1 1 0 1 01 0 0 0 1 0 1 01 1 1 1 1 0 1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 00 1 03. 八位二進(jìn)制奇偶檢測(cè)器的設(shè)計(jì)及其邏輯功能驗(yàn)證。 原理描述 在數(shù)字通訊系統(tǒng)中,由于系統(tǒng)噪聲或外界干擾的存在,可能給信息代碼的傳送引入差錯(cuò)。為了發(fā)現(xiàn)并糾正錯(cuò)誤,常采用奇偶校驗(yàn)碼傳送,在接收端再用奇偶檢測(cè)器進(jìn)行檢測(cè),以提高設(shè)備抗干擾能力和系統(tǒng)的可靠性。設(shè)B0、B1、B2、B3、B4、B5、B6、B7為八位二進(jìn)制數(shù),即奇偶檢測(cè)器的輸入,O為奇偶檢測(cè)器的奇輸出,則檢測(cè)器的輸出函數(shù)為:O = B0 + B1 + B2 + B3 + B4 + B5 + B6 + B7由表達(dá)式知,我們可用異或門實(shí)現(xiàn)上述的奇偶檢測(cè)器,并將邏輯圖畫在表6-4右邊的空白處。 實(shí)驗(yàn)驗(yàn)證選CD4070兩片,按所畫邏輯原理圖聯(lián)線,檢查無(wú)誤后接通電源。當(dāng)輸入端為表6-4中的情況時(shí),用一只LED發(fā)光二極管,監(jiān)視其輸出端O邏輯狀態(tài),驗(yàn)證邏輯功能的正確性。并將結(jié)果記錄表6-4中,實(shí)驗(yàn)完畢后斷開電源。表6-4 奇偶檢測(cè)器邏輯功能驗(yàn)證實(shí)驗(yàn)數(shù)據(jù)表輸入輸出B0 B1 B2 B3 B4 B5 B6 B7O0 0 0 0 0 0 0 10 0 0 0 0 0 1 10 0 0 0 0 1 1 10 0 0 0 1 1 1 10 0 0 1 1 1 1 10 0 1 1 1 1 1 10 1 1 1 1 1 1 11 1 1 1 1 1 1 14. 設(shè)計(jì)一個(gè)兩位二進(jìn)制數(shù)比較器(大、同、?。┑慕M合電路(選做)對(duì)兩個(gè)兩位無(wú)符號(hào)二進(jìn)制數(shù)進(jìn)行比較(大、同、?。?,根據(jù)比較結(jié)果,使相應(yīng)的三個(gè)輸出端中的一個(gè)輸出為“1”。 根據(jù)命題要求列真值表 假設(shè)第一個(gè)二進(jìn)制數(shù)為A B,第二個(gè)二進(jìn)制數(shù)為C D,即比較器的四個(gè)輸入,又設(shè)M、G、L為比較器的輸出,分別表示兩個(gè)二進(jìn)制數(shù)比較后的大、同、小結(jié)果,其邏輯功能真值表見表6-5。寫表達(dá)式根據(jù)表6-5的真值表,對(duì)G采用公式法化簡(jiǎn),對(duì)M和L采用卡諾圖法化簡(jiǎn)得:表6-5兩位二進(jìn)制數(shù)比較器邏輯功能真值表輸入輸出說(shuō)明A BC DMGL0 00 00 00 00 10 10 10 11 01 01 01 01 11 11 11 10 00 11 01 10 00 11 01 10 00 11 01 10 00 11 01 1000010001100111010000100001000010111001100010000AB=CDAB<CDAB<CDAB<CDAB>CDAB=CDAB<CDAB<CDAB>CDAB>CDAB=CDAB<CDAB>CDAB>CDAB>CDAB=CD 畫邏輯圖 根據(jù)上述表達(dá)式,讀者可自行畫出比較器的邏輯圖,并驗(yàn)證其邏輯功能。五、實(shí)驗(yàn)報(bào)告和要求根據(jù)實(shí)驗(yàn)結(jié)果,整理實(shí)驗(yàn)數(shù)據(jù),寫出實(shí)驗(yàn)報(bào)告,并思考下列問(wèn)題:1. 怎樣利用四位數(shù)值比較器芯片設(shè)計(jì)一簡(jiǎn)易電子密碼鎖?2. 怎樣利用四位數(shù)值比較器及其輔助芯片設(shè)計(jì)一簡(jiǎn)易電梯升降自動(dòng)判別電路?實(shí)驗(yàn)七 D/A、A/D轉(zhuǎn)換器一、實(shí)驗(yàn)?zāi)康?. 了解D/A和A/D轉(zhuǎn)換器的基本結(jié)構(gòu)和性能。2. 熟悉D/A和A/D轉(zhuǎn)換器的典型應(yīng)用。二、實(shí)驗(yàn)儀器及材料1. 實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件DAC0832 1片ADC0809 1片741 1片三、實(shí)驗(yàn)原理在數(shù)字電子技術(shù)很多應(yīng)用場(chǎng)合往往需要把模擬量轉(zhuǎn)換成數(shù)字量,或把數(shù)字量轉(zhuǎn)成模擬量,完成這一轉(zhuǎn)換功能的轉(zhuǎn)換器有多種型號(hào),使用者借助于手冊(cè)提供的器件性能指標(biāo)及典型應(yīng)用電路,可正確使用這些器件。本實(shí)驗(yàn)采用大規(guī)模集成電路DAC0832實(shí)現(xiàn)D/A(數(shù)/模)轉(zhuǎn)換,ADC0809實(shí)現(xiàn)A/D(模/數(shù))轉(zhuǎn)換。1. D/A轉(zhuǎn)換器DAC0832DAC0832是采用CMOS工藝制成的電流輸出型8位數(shù)/模轉(zhuǎn)換器,引腳排列如圖4-36所示,各引腳含義為:數(shù)字信號(hào)輸入端,MSB,LSB。ILE:輸入寄存器允許,高電平有效。CS:片選信號(hào),低電平有效,與ILE信號(hào)合起來(lái)共同控制是否起作用。:寫信號(hào)1,低電平有效,用來(lái)將數(shù)據(jù)總數(shù)的數(shù)據(jù)輸入鎖存于8位輸入寄存器中,有效時(shí),必須使和ILE同時(shí)有效。:傳送控制信號(hào),低電平有效,用來(lái)控制是否起作用。:寫信號(hào)2,低電平有效,用來(lái)將鎖存于8位輸入寄存器中的數(shù)字傳送到8位D/A寄存器鎖存起來(lái),此時(shí)WFER應(yīng)有效。:D/A輸出電流1,當(dāng)輸入數(shù)字量全為1時(shí),電流值最大。:D/A輸出電流2。:反饋電阻。DAC832為電流輸出型芯片,可外接運(yùn)算放大器,將電流輸出轉(zhuǎn)換成電壓輸出,電阻是集成在內(nèi)的運(yùn)算放大器的反饋電阻,并將其一端引出片外,為在片外連接運(yùn)算放大器提供方便。當(dāng)?shù)囊龆耍_9)直接與運(yùn)算放大器的輸出端相連接,如圖4-37所示,而不另外串聯(lián)電阻時(shí),則輸出電壓如式(4.1.12)所示。 (4.1.12):基準(zhǔn)電壓,通過(guò)它將外加高精度的電壓源接至T型電壓網(wǎng)絡(luò),電壓范圍為(-10+10)V,也可以直接向其他D/A轉(zhuǎn)換器的電壓輸出端。:電源,電壓范圍(+5+15)V。AGND:模擬地。DGND:數(shù)字地。 A/D轉(zhuǎn)換器ADC0809ADC0809是采用CMOS工藝制成的8位逐次漸近型模/數(shù)轉(zhuǎn)換器,引腳排列,如圖438所示。各引腳含義為:8路模擬量輸入端。:地址輸入端。 ALE:地址鎖存允許輸入信號(hào),應(yīng)在此腳施加正脈沖,上升沿有效,此時(shí)鎖存地址碼,從而選通相應(yīng)的模擬信號(hào)通道,以便進(jìn)行A/D轉(zhuǎn)換。START:?jiǎn)?dòng)信號(hào)輸入端,應(yīng)在此腳施加正脈沖,當(dāng)上升沿到達(dá)時(shí),內(nèi)部逐次逼近寄存器START復(fù)位,在下降沿到達(dá)后,開始A/D轉(zhuǎn)換過(guò)程。EOC:轉(zhuǎn)換結(jié)束輸出信號(hào)(轉(zhuǎn)換結(jié)束標(biāo)志),高電平有效,轉(zhuǎn)換在進(jìn)行中EOC為低電平,轉(zhuǎn)換結(jié)束EOC自動(dòng)變?yōu)楦唠娖?,?biāo)志A/D轉(zhuǎn)換已結(jié)束。OVTEN(OE):輸入允許信號(hào),高電平有效,即OE=1時(shí),將輸出寄存器中數(shù)據(jù)放到數(shù)據(jù)總線上。CP:時(shí)鐘信號(hào)輸入端,外接時(shí)鐘脈沖,時(shí)鐘頻率一般為640。REF(+)、REF(-):基準(zhǔn)電壓的正極和負(fù)極。一般(+)接+5V電源,(-)接地。:數(shù)字信號(hào)輸出端MSB、LSBADC0809通過(guò)引腳輸入8路單邊模擬輸入電壓,ALE將3位地址線進(jìn)行鎖存,然后由譯碼電路選通8路中某一路進(jìn)行A/D轉(zhuǎn)換,地址譯碼與輸入選通關(guān)系如表418所示。表4-18 ADC0809地址譯碼與輸入選通關(guān)系被選模擬通道地 址000011110011001101010001四、實(shí)驗(yàn)內(nèi)容及步驟1. 用DAC0832及運(yùn)算放大器741組成D/A轉(zhuǎn)換電路按圖4-37連接實(shí)驗(yàn)電路,輸入數(shù)字量由邏輯開關(guān)提供,輸出模擬量用數(shù)字電壓表測(cè)量。片選信號(hào) (腳1)、寫信號(hào)(腳2)、寫信號(hào)(腳18)、傳送控制信號(hào)(腳17)接地;基準(zhǔn)電壓(腳8)及輸入寄存器允許ILE(腳19)接+5V電源;(腳12)接運(yùn)算放大器741的反相輸入端2及同相輸入端3;(腳9)通過(guò)電阻(或不通過(guò))接運(yùn)算放大器輸出端6。a. 調(diào)零。全置0,調(diào)節(jié)電位器使741輸出為零。b. 按表4-19輸出數(shù)字量,測(cè)量相應(yīng)的輸出模擬量,記入表中右方輸出模擬電壓處。表 4-19 用DAC0832及運(yùn)算放大器組成D/A轉(zhuǎn)換昌路功能測(cè)試表A/D轉(zhuǎn)換D/A轉(zhuǎn)換輸入數(shù)字量輸出模擬量輸入模擬量輸出數(shù)字量0 0 0 0 0 0 0 00 0 0 0 0 0 0 10 0 0 0 0 0 1 00 0 0 0 0 1 0 00 0 0 0 1 0 0 00 0 0 1 0 0 0 00 0 1 0 0 0 0 00 1 0 0 0 0 0 01 0 0 0 0 0 0 01 1 1 1 1 1 1 12. A/D轉(zhuǎn)換器 按圖4-39連接電路,輸入模擬量接0+5V直流可調(diào)電源(自己設(shè)計(jì)),輸出數(shù)字量接01指示器。 將三位地址線(腳23、24、25)同時(shí)接地,因而選通模擬輸入(腳23)通道進(jìn)行A/D轉(zhuǎn)換;時(shí)鐘信號(hào)CLOCK(腳10)用f=1kHz連續(xù)脈沖源;啟動(dòng)信號(hào)SRART(腳6)和地址鎖存信號(hào)ALE(腳22)相連于P點(diǎn),接單次脈沖;參考電壓(+)(腳12)接+5V電源,(-)(腳15)接地;輸出允許信號(hào)OE(腳9)固定接高電平。 a.測(cè)試腳6(ALE)、腳22(START)、腳7(OE)的功能測(cè)試腳6、腳7連接于P點(diǎn),接單次脈沖源,調(diào)節(jié)輸入模擬量為某值,按一下P端單脈沖源按鈕,相應(yīng)的輸出數(shù)字量便由01指示器顯示出來(lái),來(lái)完成一次A/D轉(zhuǎn)換。斷開P點(diǎn)與單脈沖源間連線,將ALE、START與EDC端連接在一起如圖4-39中虛線所示,則電路處于自動(dòng)狀態(tài),觀察A/D轉(zhuǎn)換器的工作情況。b. 令電路片于自動(dòng)轉(zhuǎn)換狀態(tài)調(diào)節(jié)輸入模擬量,記入表4-19在左方輸入模擬電壓處。五、實(shí)驗(yàn)報(bào)告整理實(shí)驗(yàn)數(shù)據(jù),分析實(shí)驗(yàn)結(jié)果。六、預(yù)習(xí)要求復(fù)習(xí)D/A、A/D轉(zhuǎn)換器部分內(nèi)容。實(shí)驗(yàn)八 555時(shí)基電路的應(yīng)用一、實(shí)驗(yàn)?zāi)康?. 掌握555時(shí)基電路的結(jié)構(gòu)和工作原理,學(xué)會(huì)對(duì)此芯片的正確使用。2. 學(xué)會(huì)分析和測(cè)試用555時(shí)基電路構(gòu)成的多諧振蕩器,單穩(wěn)態(tài)觸發(fā)器,旋密特觸發(fā)器等三種典型電路。二、實(shí)驗(yàn)儀器及材料1. 實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件 NE556,(或NE555二片)雙時(shí)基電路 1片 二極管1N4148 2只 電位器22K,1K 2只 電阻、電容 若干 揚(yáng)聲器 一支三、實(shí)驗(yàn)原理本實(shí)驗(yàn)所用的時(shí)基電路芯片為NE556,同一芯片上集成了二個(gè)各自獨(dú)立的555時(shí)基電路,圖中各管腳的功能簡(jiǎn)述如下:TH-高電平觸發(fā)端:當(dāng)TH端電平大于2/3V ,輸出端OUT呈低電平,DIS端導(dǎo)通。-低電平觸發(fā)端:當(dāng)端電平小于1/3V時(shí),OUT端呈現(xiàn)高電平,DIS端關(guān)斷。-復(fù)位端:0,OUT端輸出低電平,DIS端導(dǎo)通。VC-控制電壓端:VC接不同的電壓值可以改變TH,的觸發(fā)電平值。DIS-放電端:其導(dǎo)通或關(guān)斷為RC回路提供了放電或充電的通路。OUT-輸出端。VCC-接正電源端。GND-接地端。表8.1THOUTDISXXLL導(dǎo)通HL導(dǎo)通H原狀態(tài)原狀態(tài)HH關(guān)斷556芯片的管腳功能如圖8.1所示,內(nèi)部結(jié)構(gòu)簡(jiǎn)圖如圖8.2所示。(1)按圖8.3接線,可調(diào)電壓取自電位器分壓器。(2)按表8.1逐項(xiàng)測(cè)試其功能并記錄。四、實(shí)驗(yàn)內(nèi)容及步驟1. 555時(shí)基電路構(gòu)成的多諧振蕩器電路圖8.1圖8.2 時(shí)基電路內(nèi)部結(jié)構(gòu)圖圖圖8.4 555定時(shí)器構(gòu)成的多諧振蕩器圖8.3 測(cè)試接線圖(1)按圖8-4接線。(2)用示波器觀察并測(cè)量OUT端波形的頻率和理論估算值比較,算出頻率的相對(duì)誤差值。(3)若將電阻值改為,電容C不變,上述的數(shù)據(jù)有何變化?(4)根據(jù)上述電路的原理,充電回路的支路是,放電回路的支路是,將電路略作修改,增加一個(gè)電位器RW ,和兩個(gè)引導(dǎo)二極管,構(gòu)成圖8.5所示的占空比可調(diào)的多諧振蕩器。其點(diǎn)空比q為 調(diào)節(jié)RW的阻值,可改變q值。合理選擇元件參數(shù)(電位器選用22K),使電路的占空比q0.2,且正脈沖寬度為0.2Ms。調(diào)試電路,測(cè)出所用元件的數(shù)值,估算電路的誤差。3. 555構(gòu)成的單穩(wěn)態(tài)觸發(fā)器圖8.5 占空比可調(diào)多諧振蕩器電路圖8.6 單穩(wěn)態(tài)觸發(fā)器(1)按圖8.6接線,圖中,輸入頻率約為10KHZ左右的方波時(shí),用在器示波器觀察OUT端相對(duì)于的波形,并測(cè)出輸出脈沖的寬度TW(2)調(diào)節(jié)R 頻率,分析并記錄觀察到的OUT端波形的變化。(3)若輸出脈沖TW=20US,怎樣調(diào)整電路參數(shù),記錄各有關(guān)參數(shù)值。3. 應(yīng)用電路圖8.8所用555的兩個(gè)時(shí)基電路構(gòu)成低頻對(duì)高頻調(diào)制的救護(hù)車警鈴電路。(1)參考實(shí)驗(yàn)內(nèi)容2確定圖8.8中未定元件參數(shù)(2)按圖接線,注意揚(yáng)聲器先不接(3)用示波器觀察輸出波形并記錄(4)接上揚(yáng)聲器,調(diào)整參數(shù)聲響效果滿意。(5)本實(shí)驗(yàn)所使用的電器電壓V0+5V圖8.8 救護(hù)車警鈴電路五、實(shí)驗(yàn)報(bào)告1、按實(shí)驗(yàn)內(nèi)容的要求整理實(shí)驗(yàn)數(shù)據(jù)。2、按實(shí)驗(yàn)內(nèi)容的要求計(jì)算出相關(guān)電路的元器件參數(shù)。3、畫出實(shí)驗(yàn)內(nèi)容2、3中的相應(yīng)波形。實(shí)驗(yàn)九 集成電路多種計(jì)數(shù)器綜合應(yīng)用一、實(shí)驗(yàn)?zāi)康?1. 熟悉集成計(jì)數(shù)器邏輯功能和各控制端作用。 2. 掌握計(jì)數(shù)器使用方法。二、實(shí)驗(yàn)儀器及材料1. 實(shí)驗(yàn)儀器設(shè)備:雙蹤示波器、數(shù)字萬(wàn)用表、數(shù)字電路實(shí)驗(yàn)箱2. 器件 74LS290 二一五十進(jìn)制計(jì)數(shù)器 2片 共陰LED數(shù)字顯示管 2只三、實(shí)驗(yàn)內(nèi)容及步驟 1. 集成計(jì)數(shù)器74LS290功能測(cè)試。74LS290是二一五一十進(jìn)制異步計(jì)數(shù)器,邏輯簡(jiǎn)圖為圖6.1所示。74LS290具有下述功能:圖6.1 74LS290邏輯圖直接置0(R0(1),R0(2)=1),直接置(S0(1),S0(2)=1)二進(jìn)制計(jì)數(shù)(CP1輸入QA輸出)五進(jìn)制計(jì)數(shù)(CP1輸入QA QB QC輸出)十進(jìn)制計(jì)數(shù)(兩種接法如圖6.2A、B所示)按芯片引腳圖分別測(cè)試上述功能,并填入表6.1、表6.2、表6.3中。圖6.2 十進(jìn)制計(jì)數(shù)器2. 計(jì)數(shù)器級(jí)連分別用2片74LS290計(jì)數(shù)器級(jí)連成二一五混合進(jìn)制、十進(jìn)制計(jì)數(shù)器。(1)畫出連線電路圖。(2)按圖接線,并將輸出端接到LED數(shù)碼顯示器的相應(yīng)輸入端,用單脈沖作為輸入脈沖驗(yàn)證設(shè)計(jì)是否正確。(3)畫出四位十進(jìn)制計(jì)數(shù)器連接圖并總結(jié)多級(jí)計(jì)數(shù)級(jí)連規(guī)律。表6.1 功能表R0(1) R0(2) S0(1) S0(2)輸出QD QG QB QA H H L XH H X LX X H HX L X LL X X LX L L X表6.2 二一五混合時(shí)制計(jì)數(shù)輸出QAQDQGQB0123456789表6.3 十進(jìn)制計(jì)數(shù)輸出QDQGQBQA01234567893. 任意進(jìn)制計(jì)數(shù)器設(shè)計(jì)方法采用脈沖反饋法(稱復(fù)位法或置位法),可用74LS290組成任意(M)計(jì)數(shù)器,圖6.3是用74LS290實(shí)現(xiàn)模7計(jì)數(shù)器的兩種方案,圖(A)采用復(fù)位法,即計(jì)到M異步置0,圖(B)采用置位法,即計(jì)數(shù)計(jì)到M-1異步置0。圖6.3 74LS290實(shí)現(xiàn)七進(jìn)制計(jì)數(shù)方法當(dāng)實(shí)現(xiàn)十以上進(jìn)制的計(jì)數(shù)器時(shí)可將多片級(jí)連使用。圖6.4是45進(jìn)制計(jì)數(shù)的一種方案,輸出為8421 BCD碼。圖6.4 圖6.5 LED七段顯示引腳圖(1)按圖6.4接線,并將輸出接到LED顯示器上驗(yàn)證。(2)設(shè)計(jì)一個(gè)六十進(jìn)制計(jì)數(shù)器并接線驗(yàn)證。

注意事項(xiàng)

本文(新版數(shù)字電路實(shí)驗(yàn)指導(dǎo)書.doc)為本站會(huì)員(最***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!