九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

《微機(jī)原理》PPT課件.ppt

  • 資源ID:15816352       資源大?。?span id="24d9guoke414" class="font-tahoma">1.53MB        全文頁數(shù):104頁
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《微機(jī)原理》PPT課件.ppt

1,微機(jī)原理與接口,陸廣平 電氣工程學(xué)院自動(dòng)化系 Mobile: 13851080293 Email:lgp_,2,課程目標(biāo) 微機(jī)原理是學(xué)習(xí)和掌握微機(jī)硬件知識(shí)和匯編語言程序設(shè)計(jì)的入門課程: 微型計(jì)算機(jī)的基本工作原理 匯編語言程序設(shè)計(jì) 微型計(jì)算機(jī)接口技術(shù) 目的:建立微型計(jì)算機(jī)系統(tǒng)的整體概念,形成微機(jī)系統(tǒng)軟硬件開發(fā)的初步能力。,3,教學(xué)內(nèi)容 第一章 微型計(jì)算機(jī)基礎(chǔ)概論 第二章 微處理器與總線 第三章 8086/8088 的指令系統(tǒng) 第四章 匯編語言程序設(shè)計(jì) 第五章 存儲(chǔ)器系統(tǒng) 第六章 輸入輸出和中斷技術(shù) 第七章 常用數(shù)字接口電路 第八章 模擬量的輸入輸出,4,教材 馮博琴主編,微機(jī)原理與接口技術(shù),清華大學(xué)出版社,2007.8,課程情況 教學(xué):52學(xué)時(shí) 實(shí)驗(yàn):12學(xué)時(shí) 考試:作業(yè)10%,實(shí)驗(yàn)20%,期末考試70%,參考書 1 微機(jī)原理與接口技術(shù),龔尚福主編,西安電子科技大學(xué)出版社,2003。 2 微機(jī)原理與接口技術(shù),張凡等編著,清華大學(xué)出版社,2003。 3 微機(jī)原理及應(yīng)用,黃冰等編著,重慶大學(xué)出版社,2003。,5,第1章 微型計(jì)算機(jī)基礎(chǔ)概論,主要內(nèi)容: 理解微機(jī)系統(tǒng)的整體結(jié)構(gòu) 各種常用記數(shù)制和編碼以及它們 相互間的轉(zhuǎn)換; 二進(jìn)制數(shù)的算術(shù)運(yùn)算和邏輯運(yùn)算; 符號(hào)數(shù)的表示及補(bǔ)碼運(yùn)算;,6,1.1 微型計(jì)算機(jī)系統(tǒng),電子計(jì)算機(jī)的發(fā)展: 電子管計(jì)算機(jī) 晶體管計(jì)算機(jī) 集成電路計(jì)算機(jī) 大規(guī)模集成電路計(jì)算機(jī) 超大規(guī)模集成電路計(jì)算機(jī),一、 微型計(jì)算機(jī)的發(fā)展,7,電子計(jì)算機(jī)按其性能、價(jià)格和體積等綜合指標(biāo) 巨型計(jì)算機(jī) 大型計(jì)算機(jī) 中型計(jì)算機(jī) 小型計(jì)算機(jī) 微型計(jì)算機(jī)(Microcomputer) 微型計(jì)算機(jī)的核心:微處理器(中央處理器CPU) Intel CPU的發(fā)展見下頁表,8,9,從8086到P4,Slot 1 Pentium II,Pentium w/MMX,Pentium,10,Socket 775 P4,Northwood核心的Celeron,Socket 423 P4,Coppermine核心的Celeron,Coppermine核心的Pentium III,用于服務(wù)器的Pentium Pro,11,二、微型計(jì)算機(jī)的工作過程,存儲(chǔ)程序計(jì)算機(jī)又稱為馮諾依曼型計(jì)算機(jī) 將計(jì)算過程描述為由許多條指令按一定順序組成的程序,并放入存儲(chǔ)器保存。 程序中的指令和數(shù)據(jù)必須采用二進(jìn)制編碼。 由控制器控制整個(gè)程序和數(shù)據(jù)的存取以及程序的執(zhí)行。 以運(yùn)算器為核心,所有的執(zhí)行都經(jīng)過運(yùn)算器。,12,存儲(chǔ)程序計(jì)算機(jī)的工作原理,控制器按預(yù)先存放在計(jì)算機(jī)存儲(chǔ)器中的程序的流程自動(dòng)地連續(xù)取出指令并執(zhí)行之。,運(yùn)算器,輸出設(shè)備,控制器,輸入設(shè)備,存儲(chǔ)器,指令流,控制命令,數(shù)據(jù)流,13,程序的執(zhí)行過程,程序,指令1,指令2,指令3,指令4,指令n, ,取出指令,指令譯碼,讀出操作數(shù),執(zhí)行操作,指令周期,操作碼,操作數(shù),取 指,指令:由操作碼和操作數(shù)組成 操作碼:計(jì)算機(jī)執(zhí)行什么具體操作 操作數(shù):參加操作的數(shù)的本身或操作數(shù)所在的地址,執(zhí) 行 指 令,(地址碼),14,例:計(jì)算5+8(p7),匯編語言程序?qū)?yīng)的機(jī)器指令 對應(yīng)的操作 - - - MOV AL, 5 10110000 將立即數(shù)5傳送到累加器AL中 00000101 ADD AL, 8 00000100 計(jì)算兩個(gè)數(shù)的和,結(jié)果存放到AL中 00001000 HLT 11110100 停機(jī),15,16,0000 0000,PC,1011 0000,0000 0100,0000 1000,1111 0100,0000 0101, 讀,0000 0000,00,AB,AR,1,存儲(chǔ)器,00,01,04,02,03,10110000,RA,DB,10110000,DR,IR,ID,取指 控制,執(zhí)行 控制,O,ALU,I1,I2,A,圖 讀取第1條指令操作碼的過程,17,0000 0001,PC,1011 0000,0000 0100,0000 1000,1111 0100,0000 0101, 讀,0000 0001,01,AB,AR,1,存儲(chǔ)器,00,01,04,02,03,00000101,RA,DB,00000101,DR,IR,ID,取指 控制,執(zhí)行 控制,O,ALU,I1,I2,00000101,圖 取第1條指令操作數(shù)的操作步驟,A,18,0000 0010,PC,1011 0000,0000 0100,0000 1000,1111 0100,0000 0101, 讀,0000 0010,02,AB,AR,1,存儲(chǔ)器,00,01,04,02,03,0000 0100,RA,DB,0000 0100,DR,0000 0100,IR,取指 控制,執(zhí)行 控制,O,ALU,I1,I2,A,圖 取第2條指令的操作示意圖,19,0000 0011,PC,1011 0000,0000 0100,0000 1000,1111 0100,0000 0101, 讀,0000 0011,03,AB,AR,1,存儲(chǔ)器,00,01,04,02,03,0000 1000,RA,DB,0000 1000,DR,IR,取指 控制,執(zhí)行 控制,O,ALU,I1,I2,00000101,圖 執(zhí)行第2條指令的操作示意圖,A,00001101,20,三、微型系統(tǒng)的構(gòu)成,掌握: 微機(jī)系統(tǒng)的基本組成 微型機(jī)的工作原理,21,微型計(jì)算機(jī)系統(tǒng)組成,微型計(jì)算機(jī)系統(tǒng)的三個(gè)層次 微處理器(Microprocessor) 微型計(jì)算機(jī)(Microcomputer) 微型計(jì)算機(jī)系統(tǒng)(Microcomputer System),22,微型計(jì)算機(jī)系統(tǒng)的三個(gè)層次,23,微型計(jì)算機(jī)的系統(tǒng)結(jié)構(gòu)框圖,存 儲(chǔ) 器,I/O 接 口,輸 入 設(shè) 備,I/O 接 口,地址總線 AB,輸 出 設(shè) 備,C P U,數(shù)據(jù)總線 DB,控制總線 CB,I/O 接 口,AB: Address Bus DB: Data Bus CB: Control Bus,24,微處理器(CPU) 存儲(chǔ)器 輸入/輸出接口 總線,1. 微型計(jì)算機(jī)的硬件系統(tǒng),25,主機(jī)硬件系統(tǒng)CPU,是微型機(jī)的核心芯片,是整個(gè)系統(tǒng)的運(yùn)算和指揮控制中心 構(gòu)成:ALU、CU、Registers(p9) CPU的位數(shù):4位、8位、16位、32位、64位 是指一次能處理的數(shù)據(jù)的位數(shù),26,內(nèi)部總線,通用寄存器,寄 存 器 組,堆棧指針(SP),程序計(jì)數(shù)器(PC),控制器,累加鎖存器,累加器(ACC),暫存器,ALU,標(biāo)志寄存器(FR),運(yùn)算器,微處理器典型結(jié)構(gòu)示意圖,27,主機(jī)硬件系統(tǒng)存儲(chǔ)器,存放程序和數(shù)據(jù)的記憶裝置 用途:存放程序和要操作的各類信息(數(shù)據(jù)、文字、圖像、。) 內(nèi)存:ROM、RAM 特點(diǎn):隨機(jī)存取,速度快,容量小 外存:磁盤、光盤、半導(dǎo)體盤、 特點(diǎn):順序存取/塊存取,速度慢,容量大,28,有關(guān)內(nèi)存儲(chǔ)器的幾個(gè)概念,內(nèi)存單元的地址和內(nèi)容 內(nèi)存容量 內(nèi)存的操作 內(nèi)存的分類,29,內(nèi)存單元的地址和內(nèi)容,內(nèi)存包含有很多存儲(chǔ)單元(每個(gè)內(nèi)存單元包含8bit),為區(qū)分不同的內(nèi)存單元,對計(jì)算機(jī)中的每個(gè)內(nèi)存單元進(jìn)行編號(hào),內(nèi)存單元的編號(hào)就稱為內(nèi)存單元的地址。,1 0 1 1 0 1 1 0,38F04H,內(nèi)存單 元地址,內(nèi)存單 元內(nèi)容,. . .,. . .,Bit 7 6 5 4 3 2 1 0,0 1 0 1 1 0 0 0,30,內(nèi)存容量,即內(nèi)存單元的個(gè)數(shù),以字節(jié)為單位。 注意:內(nèi)存空間與內(nèi)存容量的區(qū)別 內(nèi)存容量:實(shí)際配置的內(nèi)存大小。例:某微機(jī)配置2條 128MB的SDRAM內(nèi)存條,其內(nèi)存容量為256MB 內(nèi)存空間:又稱為存儲(chǔ)空間、尋址范圍,是指微機(jī)的 尋址能力,與CPU的地址總線寬度有關(guān)。,31,內(nèi)存操作,讀:將內(nèi)存單元的內(nèi)容取入CPU,原單元內(nèi)容不改變; 寫:CPU將信息放入內(nèi)存單元,單元中原內(nèi)容被覆蓋; 內(nèi)存的讀寫的步驟為: CPU把要讀寫的內(nèi)存單元的地址放到AB上,經(jīng)地址譯碼選中 若是寫操作, CPU緊接著把要寫入的數(shù)據(jù)放到DB上 CPU發(fā)出讀寫命令 數(shù)據(jù)被寫入指定的單元或從指定的單元讀出到DB 若是讀操作, CPU緊接著從DB上取回?cái)?shù)據(jù),32,讀:CPU要讀出地址為04H內(nèi)存單元的內(nèi)容寫:CPU把數(shù)據(jù)00100110B寫入地址為08H的存儲(chǔ)單元,33,內(nèi)存儲(chǔ)器的分類,讀寫存儲(chǔ)器(RAM) 可讀可寫 易失性,臨時(shí)存放程序和數(shù)據(jù) 只讀存儲(chǔ)器(ROM) 工作時(shí)只能讀 非易失性,永久或半永久性存放信息,34,主機(jī)硬件系統(tǒng)輸入/輸出接口,簡寫為I/O接口,是CPU與外部設(shè)備間的橋梁,CPU,I/O 接口,外設(shè),35,主機(jī)硬件系統(tǒng)總線BUS,連接多個(gè)功能部件的一組公共信號(hào)線 地址總線AB:用來傳送CPU輸出的地址信號(hào),確定被訪問的存儲(chǔ)單元、I/O端口。地址線的根數(shù)決定了CPU的尋址范圍。 CPU的尋址范圍 = 2n, n-地址線根數(shù) 數(shù)據(jù)總線DB:在CPU與存儲(chǔ)器、I/O接口之間數(shù)據(jù)傳送的公共通路。數(shù)據(jù)總線的根數(shù)決定CPU一次最多可以傳送的數(shù)據(jù)寬度。 控制總線CB:用來傳送各種控制信號(hào),36,2. 微型計(jì)算機(jī)的軟件系統(tǒng),軟件:為運(yùn)行、管理和維護(hù)計(jì)算機(jī)系統(tǒng)或?yàn)閷?shí)現(xiàn)某一功能而編寫的各種程序的總和及其相關(guān)資料。,系統(tǒng)軟件,應(yīng)用軟件,操作系統(tǒng) 編譯系統(tǒng) 網(wǎng)絡(luò)系統(tǒng) 工具軟件,軟件,37,3. 微型計(jì)算機(jī)的物理結(jié)構(gòu),38,1.2 計(jì)算機(jī)中的數(shù)制及編碼,了解 特點(diǎn); 表示方法; 相互間的轉(zhuǎn)換。,39,一、常用記數(shù)制,十進(jìn)制符合人們的習(xí)慣 二進(jìn)制便于物理實(shí)現(xiàn) 十六進(jìn)制便于識(shí)別、書寫 八進(jìn)制,40,1. 十進(jìn)制,特點(diǎn):以十為底,逢十進(jìn)一; 共有0-9十個(gè)數(shù)字符號(hào)。 表示:,41,例,十進(jìn)制數(shù)3256.87可表示為: (3256.87)10=31032102 +51016100810-1710-2,42,2. 二進(jìn)制,特點(diǎn):以2為底,逢2進(jìn)位; 只有0和1兩個(gè)符號(hào)。 表示:,43,例,二進(jìn)制數(shù)1010.11可表示為: (1010.11)2=123022 +12102012-112-2,44,3. 十六進(jìn)制,特點(diǎn):以16為底,逢16進(jìn)位; 有0-9及A-F共16個(gè)數(shù)字符號(hào)。 表示:,45,例,十六進(jìn)制數(shù)2AE.4H可表示為: (2AE.4)16=2162A161 +E160416-1,46,進(jìn)位計(jì)數(shù)制的一般表示,一般地,對任意一個(gè)K進(jìn)制數(shù)S都可表示為,其中: Si - S的第i位數(shù)碼,可以是K個(gè)符號(hào)中任何一個(gè); n,m 整數(shù)和小數(shù)的位數(shù); K - 基數(shù); Ki - K進(jìn)制數(shù)的權(quán),47,如何區(qū)分不同進(jìn)位記數(shù)制的數(shù)字,在數(shù)字后面加一個(gè)字母進(jìn)行區(qū)分: 二進(jìn)制:數(shù)字后面加B, 如1001B 八進(jìn)制:數(shù)字后面加O, 如1001O 十進(jìn)制:一般不加, 如1001 十六進(jìn)制:數(shù)字后面加H , 如1001H 在明顯可以區(qū)分其記數(shù)制的情況下,可以省略數(shù)字后面的字母,48,二、各種數(shù)制間的轉(zhuǎn)換,1. 非十進(jìn)制數(shù)到十進(jìn)制數(shù)的轉(zhuǎn)換 按相應(yīng)進(jìn)位計(jì)數(shù)制的權(quán)表達(dá)式展開,再按十進(jìn)制求和。,49,例 將二進(jìn)制數(shù)1101.101轉(zhuǎn)換為十進(jìn)制數(shù),根據(jù)二進(jìn)制的權(quán)展開式,有 (1101.101)2=123122+021 12012-102-2 12-3 (13.625)10,50,例 將十六進(jìn)制數(shù)64.CH轉(zhuǎn)換為十進(jìn)制數(shù),根據(jù)十六進(jìn)制的權(quán)展開式,有 (64.C)16 61614160 C16-1 61614160 1216-1 (100.75)10,51,2. 十進(jìn)制到非十進(jìn)制數(shù)的轉(zhuǎn)換,十進(jìn)制 二進(jìn)制的轉(zhuǎn)換: 整數(shù)部分:除2取余; 小數(shù)部分:乘2取整。 十進(jìn)制 十六進(jìn)制的轉(zhuǎn)換: 整數(shù)部分:除16取余; 小數(shù)部分:乘16取整。 以小數(shù)點(diǎn)為起點(diǎn)求得整數(shù)和小數(shù)的各個(gè)位。,52,例 將十進(jìn)制數(shù)112.25轉(zhuǎn)換為等值的二進(jìn)制數(shù)。,整數(shù)部分 112/2=56 余數(shù)=0(最低位) 56/2=28 余數(shù)=0 28/2=14 余數(shù)=0 14/2=7 余數(shù)=0 7/2=3 余數(shù)=1 3/2=1 余數(shù)=1 1/2=0 余數(shù)=1,53,小數(shù)部分 0.2520.5 整數(shù)=0(最高位) 0.521 .0 整數(shù)=1 從而得轉(zhuǎn)換結(jié)果(112.25)10=(1110000.01)2,54,例 將十進(jìn)制數(shù)301.6875轉(zhuǎn)換為等值的十六進(jìn)制數(shù)。,整數(shù)部分 301/16=18 余數(shù)=D(最低位) 18/16=1 余數(shù)=2 1/16=0 余數(shù)=1,55,小數(shù)部分 0.68751611.0000 整數(shù)=B(最高位) 從而得轉(zhuǎn)換結(jié)果(301.6875)10=(12D.B)16,56,3. 二進(jìn)制與十六進(jìn)制間的轉(zhuǎn)換,用4位二進(jìn)制數(shù)表示1位十六進(jìn)制數(shù) 例: 10110001001.110 = (?)H 0101 1000 1001.1100 5 8 9 . C 注意:位數(shù)不夠時(shí)要補(bǔ)0,57,例:將十六進(jìn)制數(shù)2A8F.6D轉(zhuǎn)換為二進(jìn)制數(shù)。 2 A 8 F. 6 D 0010 1010 1000 1111. 0110 1101,58,三、計(jì)算機(jī)中的二進(jìn)制數(shù)表示,1 定點(diǎn)表示法 在計(jì)算機(jī)中,如將小數(shù)點(diǎn)的位置固定不變,稱為定點(diǎn)表示法。 這個(gè)固定的位置是事先約定好的,不必用符號(hào)表示。 用定點(diǎn)法表示的實(shí)數(shù)叫做定點(diǎn)數(shù)。通常,定點(diǎn)表示采用以下兩種方法。,59,(1) 定點(diǎn)小數(shù)表示法 小數(shù)點(diǎn)固定在最高數(shù)值位之前,機(jī)器中能表示的所有數(shù)即為純小數(shù),這種方法稱之為定點(diǎn)小數(shù)表示法。其格式如下:,當(dāng)用m+1位表示數(shù)N時(shí),1位為符號(hào)位,m位為數(shù)值位,則N的范圍是: |N|12 -m,60,例如:若N0.1011011,n8,則在計(jì)算機(jī)內(nèi)用定點(diǎn)小數(shù)法可將N表示為:,61,(2)定點(diǎn)整數(shù)表示法 小數(shù)點(diǎn)固定在最低數(shù)值位之后,機(jī)器中能表示的所有數(shù)都是整數(shù),這種方法稱之為定點(diǎn)整數(shù)表示法。其格式如下:,當(dāng)用m+1表示數(shù)N時(shí),1位為符號(hào)位,m位為數(shù)值位,則N的范圍是: 2 mN2 m-1,62,若n8,則-128N127;若n16,則 32768N32767。 例如: 若N1011011,n8,則在計(jì)算機(jī)內(nèi)用定點(diǎn)整數(shù)法可將N表示為:,63,2 浮點(diǎn)表示法 在計(jì)算機(jī)中,小數(shù)點(diǎn)位置并不是固定不變的,而是可以改變的,這種表示法稱為浮點(diǎn)表示法。用浮點(diǎn)法表示的實(shí)數(shù),叫做浮點(diǎn)數(shù)。 任意一個(gè)二進(jìn)制數(shù)N可以表示成如下形式: NM2E 稱作數(shù)符,表示數(shù)的正、負(fù);E稱為階碼,它前面的符號(hào)稱為階符,指明尾數(shù)小數(shù)點(diǎn)向右或向左浮動(dòng)的方向,而階碼E指明尾數(shù)小數(shù)點(diǎn)移動(dòng)的位數(shù),所以階符和階碼表明了數(shù)值N小數(shù)點(diǎn)的位置。,64,例:2345.67=10+40.234567,例:101.11B=1000B0.10111B =2+11B 0.10111B,65,由此可見,由于浮點(diǎn)數(shù)能表示的數(shù)值范圍很大,因此,在科學(xué)計(jì)算時(shí)不需要比例因子。為了提高精度,發(fā)揮尾數(shù)有效位的最大作用,還規(guī)定二進(jìn)制浮點(diǎn)數(shù)其尾數(shù)數(shù)字部分原碼的最高位為1,叫做規(guī)格化表示法。如:0.0010101可表示為2-20.1010100稱為規(guī)格化浮點(diǎn)數(shù)。,66,四、 二進(jìn)制編碼,一、十進(jìn)制數(shù)的表示BCD碼 用4位二進(jìn)制數(shù)表示一位十進(jìn)制數(shù)。有兩種表示法:壓縮BCD碼和非壓縮BCD碼。 壓縮BCD碼的每一位用4位二進(jìn)制表示,00001001表示09,一個(gè)字節(jié)表示兩位十進(jìn)制數(shù)。 非壓縮BCD碼用一個(gè)字節(jié)表示一位十進(jìn)制數(shù),高4位總是0000,低4位的00001001表示09。,67,計(jì)算機(jī)中除了能夠處理數(shù)值數(shù)據(jù)以外,還可以處理文字、語音、圖像等各種信息,這些信息統(tǒng)稱為非數(shù)值數(shù)據(jù)。 非數(shù)值數(shù)據(jù)在計(jì)算機(jī)中也必須以二進(jìn)制形式表示,非數(shù)值數(shù)據(jù)的表示本質(zhì)上是編碼的過程。 最常用的數(shù)據(jù)編碼:美國標(biāo)準(zhǔn)信息交換代碼(American Standard Code for Information Interchange, ASCII碼) (見下頁ASCII編碼表,教材中的附錄A),二、非數(shù)值數(shù)據(jù)的表示,68,ASCII碼美國標(biāo)準(zhǔn)信息交換代碼,69,ASCII碼,采用7位二進(jìn)制代碼對字符進(jìn)行編碼 數(shù)字09的編碼是01100000111001,它們的高3位均是011,后4位正好與其對應(yīng)的二進(jìn)制代碼(BCD碼)相符。 英文字母AZ的ASCII碼從1000001(41H)開始順序遞增,字母az的ASCII碼從1100001(61H)開始順序遞增,這樣的排列對信息檢索十分有利。 最高位通??倿?,有時(shí)也用作奇偶校驗(yàn)位。,70,1.3 無符號(hào)二進(jìn)制數(shù)的運(yùn)算,無符號(hào)數(shù)算術(shù)運(yùn)算 有符號(hào)數(shù)邏輯運(yùn)算,71,一、無符號(hào)數(shù)的運(yùn)算,算術(shù)運(yùn)算 包括: 加法運(yùn)算 減法運(yùn)算 乘法運(yùn)算 除法運(yùn)算,72,1. 規(guī)則,加法:1+1=0(有進(jìn)位), 減法:0-1=1(有借位), 乘除法: 一個(gè)數(shù)乘以2相當(dāng)于該數(shù)左移一位;除以2則相當(dāng)于該數(shù)右移1位。,73,例:,000010110100=00101100B 000010110100=00000010B11B 即: 商=00000010B 余數(shù)=00000011B,74,2. 無符號(hào)數(shù)的表示范圍,一個(gè)n位的無符號(hào)二進(jìn)制數(shù)X,其表示范圍為 0 X 2n-1 若運(yùn)算結(jié)果超出這個(gè)范圍,則產(chǎn)生溢出。 (或者說運(yùn)算結(jié)果超出n位,則產(chǎn)生溢出) 判別方法: 運(yùn)算時(shí),當(dāng)最高位向更高位有進(jìn)位(或 借位)時(shí)則產(chǎn)生溢出。,75,例:,11111111 + 00000001 1 00000000 結(jié)果超出位(最高位有進(jìn)位),發(fā)生溢出。(結(jié)果為256,超出位二進(jìn)制數(shù)所能表示的范圍255),76,3. 邏輯運(yùn)算,與()、或()、非() 、異或() 特點(diǎn):按位運(yùn)算,無進(jìn)借位 運(yùn)算規(guī)則 . 例:A=10110110, B=01101011 求:AB, AB, AB,77,4. 邏輯門,邏輯門:完成邏輯運(yùn)算的電路 掌握: 與、或、非門邏輯符號(hào)和邏輯關(guān)系(真值表); 與非門、或非門的應(yīng)用。,78,與門(AND Gate),Y = AB,注:基本門電路僅完成1位二進(jìn)制數(shù)的運(yùn)算,79,或門(OR Gate),Y = AB,Y,A B,80,非門(NOT Gate),1,A,Y,81,異或門(eXclusive OR Gate),Y = AB,Y,A B,82,5.譯碼器,74LS138譯碼器:,譯碼輸出,譯碼輸入,譯碼使能,83,74LS138真值表,84,1.4 帶符號(hào)二進(jìn)制數(shù)的運(yùn)算,計(jì)算機(jī)中的帶符號(hào)二進(jìn)制數(shù) 把二進(jìn)制數(shù)的最高位定義為符號(hào)位 符號(hào)位為 0 表示正數(shù),符號(hào)位為 1 表示負(fù)數(shù) 連同符號(hào)位一起數(shù)值化了的數(shù),稱為機(jī)器數(shù)。 機(jī)器數(shù)所表示的真實(shí)的數(shù)值,稱為真值。 (在以下講述中,均以位二進(jìn)制數(shù)為例),85,例:,+52 = +0110100 = 0 0110100 符號(hào)位數(shù)值位 -52 = -0110100 = 1 0110100,真值,機(jī)器數(shù),86,1. 符號(hào)數(shù)的表示,對于符號(hào)數(shù),機(jī)器數(shù)常用的表示方法有原碼、反碼和補(bǔ)碼三種。數(shù)X的原碼記作X原,反碼記作X反,補(bǔ)碼記作X補(bǔ)。 注意:對正數(shù),三種表示法均相同。 它們的差別在于對負(fù)數(shù)的表示。,87,原碼X原,定義 符號(hào)位:0表示正,1表示負(fù); 數(shù)值位:真值的絕對值。,88,數(shù)0的原碼,8位數(shù)0的原碼:+0 = 0 0000000 - 0 = 1 0000000 即:數(shù)0的原碼不唯一。,89,反碼X反,定義 若X0 ,則 X反=X原 若X<0, 則 X反= 對應(yīng)原碼的符號(hào)位 不變,數(shù)值部分按位求反,90,例:,X= - 52 = -0110100 X原 = 10110100 X反 = 11001011,91,0的反碼:,+0反 = 00000000 -0反 = 11111111 即:數(shù)0的反碼也不是唯一的。,92,補(bǔ)碼,定義: 若X0, 則X補(bǔ)= X反= X原 若X<0, 則X補(bǔ)= X反+1,93,例:,X= 52= 0110100 X原 = 10110100 X反 = 11001011 X補(bǔ) = X反+1=11001100,n位補(bǔ)碼表示數(shù)值的范圍是 對應(yīng)的補(bǔ)碼是1000 0111。,94,0的補(bǔ)碼:,+0補(bǔ)= +0原=00000000 -0補(bǔ)= -0反+1=11111111+1 =1 00000000 對8位字長,進(jìn)位被舍掉 +0補(bǔ)= -0補(bǔ)= 00000000,95,特殊數(shù)10000000,該數(shù)在原碼中表示為: -0 在反碼中表示為: -127 在補(bǔ)碼中定義為: -128 對無符號(hào)數(shù):(10000000) = 128,96,2. 有符號(hào)二進(jìn)制數(shù)與十進(jìn)制的轉(zhuǎn)換,對用補(bǔ)碼表示的二進(jìn)制數(shù): 1)求出真值 2)進(jìn)行轉(zhuǎn)換,97,例:,將一個(gè)用補(bǔ)碼表示的二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)。 1) X補(bǔ) = 0 0101110B 真值為:+0101110B 正數(shù) 所以:X=+46 2) X補(bǔ) = 1 1010010B 負(fù)數(shù) X = X補(bǔ)補(bǔ) = 11010010補(bǔ) = - 0101110B 所以:X = - 46,98,3. 補(bǔ)碼加減法的運(yùn)算規(guī)則,通過引進(jìn)補(bǔ)碼,可將減法運(yùn)算轉(zhuǎn)換為加法運(yùn)算。規(guī)則如下: X+Y補(bǔ)=X補(bǔ)+Y補(bǔ) X-Y補(bǔ)=X補(bǔ)+ -Y補(bǔ) 其中X,Y為正負(fù)數(shù)均可,符號(hào)位參與運(yùn)算。,99,例:,X=-0110100,Y=+1110100,求X+Y補(bǔ) X原=10110100 X補(bǔ)= X反+1=11001100 Y補(bǔ)= Y原=01110100 所以: X+Y補(bǔ)= X補(bǔ)+ Y補(bǔ) =11001100+01110100 =01000000,100,8位有符號(hào)數(shù)的表示范圍:,對8位二進(jìn)制數(shù): 原碼: -127 +127 反碼: -127 +127 補(bǔ)碼: -128 +127 想一想:16位有符號(hào)數(shù)的表示范圍是多少?,101,4. 符號(hào)數(shù)運(yùn)算中的溢出問題,進(jìn)(借)位 在加法過程中,符號(hào)位向更高位產(chǎn)生進(jìn)位; 在減法過程中,符號(hào)位向更高位產(chǎn)生借位。 溢出 運(yùn)算結(jié)果超出運(yùn)算器所能表示的范圍。,102,溢出的判斷方法,方法: 同號(hào)相減或異號(hào)相加不會(huì)溢出。 同號(hào)相加或異號(hào)相減可能溢出: 兩種情況: 同號(hào)相加時(shí),結(jié)果符號(hào)與加數(shù)符號(hào)相反溢出; 異號(hào)相減時(shí),結(jié)果符號(hào)與減數(shù)符號(hào)相同溢出。 方法: 兩個(gè)8位帶符號(hào)二進(jìn)制數(shù)相加或相減時(shí),若 C7C61, 則結(jié)果產(chǎn)生溢出。 C7為最高位的進(jìn)(借)位;C為次高位的進(jìn)(借)位。,103,例:,有符號(hào)數(shù)運(yùn)算,有溢出表示結(jié)果是錯(cuò)誤的 無符號(hào)數(shù)運(yùn)算,有進(jìn)位表示結(jié)果是錯(cuò)誤的,1 0 1 1 0 1 0 1 + 1 0 0 0 1 1 1 1 1 0 1 0 0 0 1 0 0,0 1 0 0 0 0 1 0 + 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1,0 1 0 0 0 0 1 0 + 1 1 0 0 1 1 0 1 1 0 0 0 0 1 1 1 1,CASE1:,CASE2:,CASE3:,104,作業(yè),第一章 習(xí)題 (p35) 1.1 1.13,

注意事項(xiàng)

本文(《微機(jī)原理》PPT課件.ppt)為本站會(huì)員(za****8)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!