九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

籃球比賽計時器.doc

  • 資源ID:1597410       資源大小:542.04KB        全文頁數(shù):31頁
  • 資源格式: DOC        下載積分:32積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要32積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

籃球比賽計時器.doc

成績 課 程 設(shè) 計 說 明 書課程設(shè)計名稱:電子技術(shù)課程設(shè)計題 目:籃球比賽計時器學 院:電氣與電子信息學院學 生 姓 名:專 業(yè):電氣工程及其自動化學 號:指 導 教 師:日期:2016年 7 月2 日課程設(shè)計題目摘 要:本設(shè)計是脈沖數(shù)字電路的簡單應(yīng)用,設(shè)計了籃球競賽24秒和12分鐘倒計時器。此計時器功能齊全,可以直接清零、啟動、暫停和連續(xù)以及具有報警功能,同時應(yīng)用了七段數(shù)碼管來顯示時間。此計時器有了啟動、暫停和連續(xù)功能,可以方便地實現(xiàn)斷點計時功能,當計時器遞減到零時,會發(fā)出報警信號。本設(shè)計完成的中途計時功能,實現(xiàn)了在許多的特定場合進行時間追蹤的功能,在社會生活中也具有廣泛的應(yīng)用價值。 本電路主要有五個模塊構(gòu)成:秒脈沖發(fā)生器、計數(shù)器、譯碼顯示電路、控制電路和報警電路??刂齐娐分苯涌刂朴嫈?shù)器啟動計數(shù)、暫停/連續(xù)計數(shù)、譯碼顯示電路的顯示等功能。當控制電路的置數(shù)開關(guān)閉合時,在數(shù)碼管上顯示數(shù)字24,每當一個秒脈信號輸入到計數(shù)器時,數(shù)碼管上的數(shù)字就會自動減1,當計時器遞減到零時,報警電路發(fā)出光電報警與蜂鳴信號。關(guān)鍵詞:計數(shù)器 24秒倒計時 譯碼顯示電路 控制電路 報警電路Abstract:This design is the simple application of the pulse digital circuit, design of the basketball competition 12 minutes and 24 seconds and the timer. The timer function is all ready, can be directly reset, start, pause, continuous and has alarm function, and application of the seven segment digital tube to display the time. The timer start, pause and continuous function, can easily achieve a breakpoint timing function, decreasing when the timer to zero, will send out alarm signal. This design completed the middle of the timing function, realized in many occasions for time tracking function, also has extensive application value in social life.This circuit is mainly composed of five modules: second pulse generator, counter, decoding display circuit, control circuit and alarm circuit. Control circuit control directly counter to start counting, pause/continuous counting, decoding display circuit, and other functions. When the control circuit of the load switch is closed, on the digital tube display digital 24, when a second pulse signal input to the counter, the Numbers on a digital tube will automatically minus 1, decreasing when the timer to zero, signals photoelectric alarm and buzzer alarm circuit.Keywords:Counter, and 24 seconds countdown, decoding display circuit, control circuit, alarm circuit目 錄1 前言1 1.1 設(shè)計背景1 1.2 設(shè)計目標1 1.3 實施計劃1 1.4 必備條件12 總體方案設(shè)計2 2.1 方案比較2 2.1.1方案一2 2.1.2方案二2 2.2 方案論證3 2.3 方案選擇33 單元模塊設(shè)計3 3.1 各單元模塊功能介紹及電路設(shè)計3 3.1.1 秒脈沖發(fā)生器和節(jié)次控制模塊設(shè)計4 3.1.2 秒·分倒計數(shù)器模塊設(shè)計6 3.1.3 譯碼器與顯示器模塊設(shè)計8 3.2 特殊器件的介紹9 3.2.1 74LS192器件介紹94 系統(tǒng)調(diào)試11 4.1 調(diào)試環(huán)境11 4.2 硬件調(diào)試125 系統(tǒng)功能、指標參數(shù)13 5.1 系統(tǒng)能實現(xiàn)的功能13 5.2 系統(tǒng)指標參數(shù)測試13 5.3 系統(tǒng)功能及指標參數(shù)分析136 結(jié)論147 總結(jié)與體會158 謝辭169 參考文獻17附錄181、前言隨著社會的進步,信息化產(chǎn)業(yè)的發(fā)展,出現(xiàn)的高科技產(chǎn)品的技術(shù)含量也越來越來高,先進的電子技術(shù)在各個學科門內(nèi)和技術(shù)鄰域占有不可或缺的核心地位。本設(shè)計籃球比賽計時器是采用數(shù)字電路實現(xiàn)對分,秒進行倒計時顯示的計時裝置以其直觀性和準確性受到人們的喜愛,由于數(shù)字集成電路的發(fā)展和石英晶體與555 多諧振蕩器的廣泛引用,使得籃球比賽計時比以前計時更加精準,方便。數(shù)字電路也更加符合現(xiàn)在籃球比賽對計時設(shè)備的要求。因此籃球比賽計時器還有著非常大的現(xiàn)實意義1.1設(shè)計背景隨著社會文明的進步和科學技術(shù)的發(fā)展,我國現(xiàn)代化建設(shè)的發(fā)展進程中,數(shù)字電子技術(shù)在國民經(jīng)濟科學研究各個領(lǐng)域的引用也越來越廣泛。且我門學習了數(shù)字電子技術(shù)等課程,另外還做過模擬、數(shù)字電子技術(shù)等實驗。為了達到設(shè)計要求,所以根據(jù)自己掌握的知識,再結(jié)合其他專業(yè)課知識,我選擇了籃球比賽計時器這個題目。在制作這個電路的基礎(chǔ)上可以看出自己對數(shù)字電路及其它專業(yè)課的掌握程度,因而很適合電子技術(shù)課程設(shè)計的題目要求。再者數(shù)字鐘在我們的實際生活中經(jīng)常見到,它的精度、穩(wěn)定性遠遠超過了機械鐘表,因此得到了廣泛的使用。1.2設(shè)計目標本次設(shè)計以數(shù)字電路為主,實現(xiàn)對分,秒的倒數(shù)計時。有12分鐘倒計時和24秒進攻倒計時(1)設(shè)計一個555多諧振蕩器經(jīng)分頻電路產(chǎn)生標準的一秒脈沖發(fā)生器;(2)能準確顯示時間,秒分別為60進制和24進制。開始時顯示12:00.結(jié)束時為00:00.1.3實施計劃(1)根據(jù)所選課題,結(jié)合所學知識,查閱資料,畫出數(shù)字鐘的設(shè)計電路圖;(2)根據(jù)原理圖分析各個單元的功能,熟悉自己所需的各個器件的功能;(3)用proteus軟件設(shè)計電路圖,并進行仿真和電路調(diào)試能達到規(guī)定的設(shè)計要求;(4)用Altium Designer軟件畫出原理圖,并正確畫出完整的PCB圖;(5) 寫出完整、詳細的課程設(shè)計說明書。1.4必備條件(1)電子技術(shù)基礎(chǔ)課程知識儲備。(2)各模塊元器件電氣特性。 (3)仿真環(huán)境(proteus 7)、原理圖的使用。第 2 頁2、總體方案設(shè)計通過查閱大量相關(guān)技術(shù)資料,并結(jié)合自己的實際知識,我主要提出了兩種技術(shù)方案來實現(xiàn)系統(tǒng)功能。下面我將首先對這兩種方案的組成框圖和實現(xiàn)原理分別進行說明,并分析比較它們的特點,然后闡述我最終選擇方案的原因。2.1方案比較2.1.1方案一:信號發(fā)生器是數(shù)字鐘的核心。它的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計時的準確程度,可選用晶振的頻率為32768Hz的脈沖經(jīng)過整形、分步獲得1Hz的秒脈沖。圖2-1方案一框圖2.1.2方案二:由集成邏輯門與RC組成的時鐘源振蕩器或由集成電路定時器555與RC組成的多諧振蕩器作為時間標準信號源。圖2-2方案二框圖2.2方案論證 1.脈沖產(chǎn)生電路: a.用555組成的脈沖產(chǎn)生電路: R1=15*103,R2=68*103,C=10F,則555所產(chǎn)生的脈沖的 為:f=1.43/(R1+2*R2)*103*10*106=0.947Hz,而設(shè)計要求為1Hz,因此其誤差為5.3%,在精度要求不是很高的時候可以使用. b.石英晶體振蕩電路:  采用的32768晶體振蕩電路,其頻率為32768Hz,然后再經(jīng)過15分頻電路可得到標準的1Hz的脈沖輸出。R的阻值,對于TTL門電路通常在0.72K之間;對于CMOS門則常在10100M之間.2.3方案選擇:經(jīng)過兩個方案的比較,基于電路的難易程度和自己的知識掌握。且方案二能產(chǎn)生更加穩(wěn)定的脈沖信號,最終選擇方案二。3. 單元模塊設(shè)計3.1各單元模塊功能介紹及電路設(shè)計籃球比賽計時器的主要功能包括: 12分鐘倒計時、進攻方24秒倒計時計時暫停,重新開啟和結(jié)束警報提示。該計時系統(tǒng)由以下四個電路模塊組成:1秒時基產(chǎn)生器:這部分利用32.768KHz需要通過分頻器,最終產(chǎn)生1赫茲的電信號,驅(qū)動整個電路的運作。這一模塊主要是利用CD4060和CD4027的鎖存和分頻功能來實現(xiàn)。12分鐘倒計時:這部分電路完成12分鐘倒計時的功能,比賽準備開始時,屏幕上顯示12:00字樣。當比賽開始時,倒計時從12:00開始逐秒遞減到00:00。這一模塊主要利用雙向計數(shù)器74LS192的減計數(shù)功能來實現(xiàn)。攻方24秒倒計時:這部分電路與12分鐘倒計時功能類似,當比賽準備開始時,屏幕上顯示24秒字樣,當比賽開始后,倒計時從24逐秒倒數(shù)到00。這一模塊主要也是利用雙向計數(shù)器74LS192來實現(xiàn)。節(jié)數(shù)記次:四個LED分別表示四場節(jié)次,根據(jù)比賽場次的轉(zhuǎn)換,用適當?shù)姆椒ㄊ惯@四個LED依次自動指示四場節(jié)次。警報提示:當兩個計數(shù)器中任一個計時到零時,BO端出現(xiàn)低電平。通過和二極管作用,發(fā)光二極管亮,起到報警作用。主體電路:即倒計時部分。包括12分鐘和24秒倒計時。12分鐘倒計時的基本原理:比賽處于準備開始階段,扳動啟動開關(guān)G使倒計時計數(shù)器相應(yīng)的置數(shù)或清零端有效,顯示設(shè)定的時間12:00,當主裁判拋起球,比賽開始,扳動G,倒數(shù)計時器開始工作(相應(yīng)的置數(shù)、清零端無效),計時器逐秒進行倒計顯示。當有球員犯規(guī),裁判吹哨,整個計時系統(tǒng)的倒計時暫停,這個功能通過暫停開關(guān)S截斷時鐘脈沖的傳輸來實現(xiàn)。當?shù)箶?shù)計時器計數(shù)到零時,選取“00:00”這個狀態(tài),通過組合邏輯電路給出截斷信號,讓其與時鐘脈沖在與非門中將時鐘脈沖截斷,從而計時器在計數(shù)到零時停住。24秒計數(shù)芯片的置數(shù)端和12分的置數(shù)、清零端共用一個開關(guān),比賽開始后,24秒的置數(shù)端也無效,24秒的倒數(shù)計時器與12分的倒數(shù)計時器同時開始進行倒計時,逐秒倒計到零。同樣也是選取“00”這個狀態(tài),通過組合邏輯電路給出截斷信號,讓該信號與時鐘脈沖在與非門中將時鐘截斷,使計時器在計數(shù)到零時停住。3.1.1 秒脈沖發(fā)生器的設(shè)計信號發(fā)生器是整個電路的初始部分,它能否產(chǎn)生足夠穩(wěn)定的脈沖信號直接決定系統(tǒng)的正常運行。下圖為秒脈沖部分的電路圖:圖3.1.1秒脈沖發(fā)生器電路圖 節(jié)次電路:用四個D觸發(fā)器和適當?shù)慕M合邏輯電路搭成四位的移位寄存器,四個LED分別接在這四個D觸發(fā)器的輸出Q上,當過了12分鐘重置時,電路自動移位指示節(jié)次。圖3.1.2節(jié)次電路電路圖將這四個D觸發(fā)器依次命名為D1、D2、D3、D4。四個D觸發(fā)器級連,前一個輸出送入下一個輸入,用一個共同的時鐘脈沖,形成同步動作。為了保證每次輸出只有一位是高電平,用個或門把Q2、Q3進行或運算后,送入或非門與Q1進行運算后送回D1。當電源剛接通、開關(guān)G沒有接地,整個計時系統(tǒng)沒有進行工作,Q1-Q4為低電平(0000狀態(tài)),D=1,四個LED都不亮。合上G,接高電平,這樣,當G接通時就有了一個電平的上升沿跳變,Q1=D1=1;1000狀態(tài),LED1亮,指示第一節(jié)比賽。電路進入循環(huán)狀態(tài),倒計時電路重置一次,該電路狀態(tài)轉(zhuǎn)換一次,實現(xiàn)節(jié)次自動指示。警報提示:為了給出警報提示,可在計數(shù)器的輸出端用一個普通二極管和LED二極管。當計數(shù)為0時,QO輸出為0,LED燈亮起。3.1.2 秒、分倒計數(shù)器的設(shè)計倒計時功能主要是利用192計數(shù)芯片來實現(xiàn),同時利用反饋和置數(shù)實現(xiàn)進制的轉(zhuǎn)換,以適合分和秒的不同需要。由于該系統(tǒng)特殊的需要,到各計時器到零時,通過停止控制電路使計數(shù)器停止計數(shù)并用LED發(fā)出警報。而節(jié)次計數(shù)是通過12分鐘的重置來實現(xiàn)的。1)24秒倒計時電路計數(shù)器的倒計時功能。用兩片74LS192分別做個位(低位)和十位(高位)的倒計時計數(shù)器,由于本系統(tǒng)只需要從開始時的“24”倒計到“00”然后停止,所以可以直接運用十進制的74LS192進行減計數(shù)。因為預置的數(shù)不是“00”,所以我選用置數(shù)端LOAD來進行預置數(shù)。低位的借位輸出信號用作高位的時鐘脈沖。圖3.1.2.1 24秒倒計時電路如圖2)12分鐘倒計時電路設(shè)計12分鐘倒計時秒部分。運用兩片可逆計數(shù)器74LS192來構(gòu)成60進制的減計數(shù)器。這個計數(shù)器的低位即個位,不需要搭接任何反饋電路而直接運用74LS192芯片的減計數(shù)功能:時鐘脈沖接到down端,置數(shù)、清零端無效,即可以實現(xiàn)十進制的倒計時計數(shù)功能。而最低位的計數(shù)變化應(yīng)當與時鐘脈沖的變化同步。所以,原則上應(yīng)當將時鐘脈沖直接引到這片192計數(shù)器的減計數(shù)時鐘脈沖輸入端down。該計數(shù)器的高位即十位,與低位的計數(shù)進制不相同。由于時間的分和秒都是60進制,所以這里的計數(shù)芯片74LS192必須要接成六進制的計數(shù)器。這里,我選用反饋置數(shù)的方法來實現(xiàn)這個功能。反饋方案一:考慮到74LS192芯片的置數(shù)端LOAD是異步置數(shù),并且是低電平有效的,所以我根據(jù)74LS192芯片減計數(shù)的特點并綜合以上兩點特性,就將74LS192芯片的輸出端輸出9時,即僅QD和QA輸出為高電平(二進制數(shù)1001)。從這兩個引腳引出高電平信號,并通過與非門作用后形成低電平反饋信號,送入74LS192芯片的置數(shù)端LOAD使之實現(xiàn)置數(shù)動作。反饋方案二:直接從QD引出高電平信號,通過非門作用后形成低電平反饋信號,送入74LS192芯片的置數(shù)端LOAD使之實現(xiàn)置數(shù)動作。(由于方案二和方案一相比,功能相同,連線更為簡單和方便,本設(shè)計采用方案二。)置數(shù)時,輸出的數(shù)是與輸入的數(shù)是一樣的,所以我設(shè)置的數(shù)是5(二進制0101),這樣,當計數(shù)器從0變到9時,由于進行了異步置數(shù),9就在瞬間變成了5,計數(shù)輸出的結(jié)果就變?yōu)?543210,實現(xiàn)了六進制的功能。12分鐘倒計時分部分。也是運用兩片可逆計數(shù)器74LS192來構(gòu)成減計數(shù)器。在兩片計數(shù)器的連接上,與秒部分一樣。也是把低位的借位信號作為高位的時鐘脈沖進行連接。而低位計數(shù)器的時鐘脈沖則是用秒部分高位計數(shù)器的借位輸出信號來充當?shù)?。運用以上兩個計數(shù)器組合,就在低位計數(shù)器從0變到9或從0變到5的瞬間,在它的借位輸出端出現(xiàn)一個電平的上升脈沖沿,從而使高位的計數(shù)器倒倒計一個數(shù)。實現(xiàn)倒計時功能。如下:圖3.1.2 .2 12分鐘倒計時電路 3.1.3譯碼器和顯示器的設(shè)計譯碼電路的功能是將“秒”、“分”計數(shù)器的輸出代碼進行翻譯,變成相應(yīng)的數(shù)字。本次驅(qū)動LED七段數(shù)碼管的譯碼器,我們選用了CC4511.相應(yīng)的,與LED七段共陰極顯示數(shù)碼管相互連接。圖3.1.3譯碼器和顯示器簡要說明:右起第一個開關(guān)的作用是對12分鐘進行清零和置數(shù)。右起第二個開關(guān)是暫停、繼續(xù)開關(guān)。 右起第三個開關(guān)對24秒進行清零和置數(shù)。最左邊的開關(guān)是整個系統(tǒng)的啟動開關(guān)。3.2 l特殊器件的介紹74LS192是雙時鐘方式的十進制可逆計數(shù)器。(bcd,二進制)。 1. CPU為加計數(shù)時鐘輸入端,CPD為減計數(shù)時鐘輸入端。 2. LD為預置輸入控制端,異步預置。 3.CR為復位輸入端,高電平有效,異步清除。 4.CO為進位輸出:1001狀態(tài)后負脈沖輸出。 5.BO為借位輸出:0000狀態(tài)后負脈沖輸出。圖3.2 74LS192表3.2 74ls192真值表4.系統(tǒng)調(diào)試1、用 D觸發(fā)器74LS74連接出節(jié)次控制模塊:a 測試74LS74,相應(yīng)的門電路模塊74LS02、74LS32的好壞。b 將兩片74LS74做成循環(huán)移位寄存器,測試其性能是否達到要求。2、用移位74LS194寄存器及相關(guān)的門電路做出警報提示模塊:a 測試74LS194和門電路的好壞。b 把74LS194的固定引腳接好。c 連接74LS194外部門電路組成的組合邏輯電路并測試其功能。d 進行整體連接并測試其功能。3、用異步可逆雙時鐘BCD計數(shù)器74LS192及相關(guān)門實現(xiàn)定時倒計時電路:a 測試74LS192和門電路的好壞。b 先連24秒倒計時模塊,并測試其性能是否達到要求c 加入相應(yīng)門電路實現(xiàn)暫停和停止/復位功能。d 連接12分鐘倒計時模塊,并測試其性能是否達到要求。e 加入相應(yīng)門電路實現(xiàn)暫停和停止/復位功能。4.1調(diào)試環(huán)境在硬件電路的設(shè)計中,進行調(diào)試與仿真是不可避免的。在仿真的過程中我們可以不斷的對我們所設(shè)計的電路進行修改,驗證我們設(shè)計的重要性,避免了再實際操作中的繁瑣,以及對元件的損耗。在設(shè)計電路時,常用的仿真軟件有PROTUES,TINA,MODELSIM等。本次設(shè)計采用的是PROTUES.PROTUES是世界上著名的EDA工具(仿真軟件),從原理圖布圖、代碼調(diào)試到單片機與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計,真正實現(xiàn)了從概念到產(chǎn)品的完整設(shè)計。是目前世界上唯一將電路仿真軟件、PCB設(shè)計軟件和虛擬模型仿真軟件三合一的設(shè)計平臺,其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年又增加了Cortex和DSP系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持IAR、Keil和MATLAB等多種編譯器。是在電路調(diào)試方面應(yīng)用較為廣泛的一種EDA工具。由于PRITUES擁有豐富的元件庫,并且支持元件庫的升級和下載,幾乎涵蓋了所有本次設(shè)計中所需的元件。元件的選取與查找操作簡便,只需在元件搜索框中輸入元件的型號或名稱即可。4.2、硬件調(diào)試附時鐘脈沖發(fā)生器模塊的調(diào)試:調(diào)試方法和調(diào)試內(nèi)容:用示波器和頻率計調(diào)試。即在時鐘脈沖發(fā)生器輸出脈沖端與示波器一個探頭相連接,打開示波器,把圖像調(diào)節(jié)到視野中央,觀察頻率調(diào)節(jié)旋鈕上的示數(shù),并數(shù)示波器面板上波形所占的格數(shù),把頻率調(diào)節(jié)旋鈕上的示數(shù)與示波器面板上波形所占的格數(shù)相乘就是時鐘脈沖發(fā)生器輸出的頻率。除此之外還可用外電路的頻率與電阻電容的關(guān)系也可粗略地到頻率值。經(jīng)過以上兩種方法的合并使用,得到時鐘脈沖發(fā)生器模塊輸出1HZ的時鐘頻率。圖4.2時鐘脈沖發(fā)生器調(diào)試圖5系統(tǒng)功能、指標參數(shù)5.1 系統(tǒng)能實現(xiàn)的功能經(jīng)過測試,可實現(xiàn)的功能有:1、24秒和12分鐘同時置零和啟動,也可在暫停的狀態(tài)下對24秒單獨置零,并重新置數(shù)啟動。2、可以同時使兩個時鐘置零。3、當兩個時鐘中任意一個時鐘置零時,兩個時鐘都停止調(diào)動,并且LED燈亮。5.2 系統(tǒng)指標參數(shù)測試1.時鐘模塊為減計數(shù)提供一個頻率為1Hz的脈沖信號,從而實現(xiàn)計數(shù)器計數(shù)間隔為1秒鐘;2.計數(shù)、譯碼顯示模塊主要是為了達到能顯示減計數(shù)功能;3.報警模塊是為了實現(xiàn)當減計數(shù)到零時發(fā)出光電報警信號;4.控制模塊主要是為了實現(xiàn)計時器的啟動、直接清零和暫停/連續(xù)功能,其中在直接清零時,由外控制開關(guān)控制譯碼器消隱端,從而可以實現(xiàn)顯示譯碼器滅燈;通過暫停/連續(xù)開關(guān)從而實現(xiàn)斷點計時功能。5.3系統(tǒng)功能及指標參數(shù)分析該設(shè)計采用方案二能產(chǎn)生較為合格的脈沖信號,但還有待改進,與NE555相比較使得電路更為復雜,也給后面的仿真增加了難度。在對各模塊分開測試時能達到預期目標,但組合起來時整個連線布局成了大問題各系統(tǒng)協(xié)調(diào)運行不是很好,還有待改進。六 結(jié)論該設(shè)計用的是Proteus仿真軟件的驗證了理論分析結(jié)果的正確性。此次課程設(shè)計,目前基本達到了預期的要求,通過對整個系統(tǒng)的調(diào)試,可得到如下結(jié)論:數(shù)碼管能正常顯示12分和24秒,能準確的實現(xiàn)12分倒計時和24秒倒計時,能準確的實現(xiàn)暫停和繼續(xù)計時。通過這次課程設(shè)計,我翻閱了大量的資料,通過網(wǎng)上和書籍上的知識結(jié)合最終順利做出了想要的作品,這種經(jīng)歷不僅鍛煉了我搜集資料的能力,更提高了我提取有用信息,和整理信息的能力。增強了我獨立完成任務(wù)的能力,使我了解到如何自己有效的完成一件事。本次設(shè)計還存在諸多缺點,比如電路設(shè)計較為復雜,線路排版不是很好,另外仿真調(diào)試與實際使用存在一定誤差,該作品的抗干擾性還有待提高!7.總結(jié)與體會 通過本次課程設(shè)計我學到了許多以前不會,或是沒接觸過的東西,既鞏固了自己所學的知識,又開拓了我的視野,增強了我的動手能力和自己獨立完成任務(wù)作品的能力,同時也認識到了一種十分重要的學習方法,那就是模塊化學習,就像電路一樣把每個模塊弄好弄扎實,最后融合在一起才能達到預期的效果。更加熟悉了Proteus等仿真軟件在實際工程中所發(fā)揮的巨大作用。同時學會提出多種方案經(jīng)過比較后得出最優(yōu)方案,再去實施它。以后一定嚴格遵守理論結(jié)合實際的原則,優(yōu)化方案,提高效率。在本次設(shè)計中還是體現(xiàn)出我對課本內(nèi)容的不熟,以及對網(wǎng)上資料的篩選不夠仔細的問題,本次設(shè)計時先是通過課本內(nèi)容再到圖書館翻閱,最后網(wǎng)上查找的方式來獲取所需的知識,通過不同內(nèi)容的比較也讓我了解到理論與實踐的差別與聯(lián)系。有些理論知識是需要在一些理想化的條件下才能很好的契合,特別是電路在實際運行中很容易受外界環(huán)境干擾,需要我們耐心查找解決! 最后我明白了無論是做課程設(shè)計還是學習都需要我們擁有一種嚴謹,認真的態(tài)度去對待,在今后的學習工作中我們還會遇到各種難題,可是只要我們不畏艱險,勇敢面對耐心,細心的去分析了解它我們就有成功的機會。同時要合理的安排自己獨立完成和與他人合作。有些事只能自己去完成,但不懂得合作就注定你要走的路艱難百倍。非常感謝在這次課程設(shè)計中給予我?guī)椭睦蠋熀屯瑢W們!8.謝辭在夏焰坤老師的指導下我克服了一個又一個課程設(shè)計上的難題,從最開始的選題夏老師便耐心的為我們講解分析每個課題的特點,難點,讓我們清楚的知道自己適合做哪個。我根據(jù)自己的能力以及興趣選擇了籃球比賽計時器這個課題,在老師的幫助下我找到了自己的思路,在確立了總的設(shè)計方向后,在老師的監(jiān)督下我開始了各個模塊的建立與測試。在總的運行時遇到問題夏老師也細心幫我們查找。 最后非常感謝夏老師在這次課程設(shè)計中對我的指導和幫助!9.參考文獻1 康華光.電子技術(shù)基礎(chǔ) 數(shù)字部分 第六版 M.北京:高等教育出版社,20142 陳永強、魏金成、吳昌東. 模擬電子技術(shù) M. 人民郵電出版社,2013-01-013 陳明義.電子技術(shù)課程設(shè)計實用教程 M.中南大學出版社,2013.34 華成英.數(shù)字電子技術(shù)基礎(chǔ) M. 高等教育出版社,2002-06-015 http:/www.docin.com/p-220723804.html6 http:/blog.163.com/lsweetingyeah/blog/static/164681450201064938365/7http:/wenku.baidu.com/link?url=C8o_iNEW53ggNEZJz-RvgVDIdfOy3PYggDD8iJp3J-l9yKye3qHqtyvF3DdrYDoLjJj3UKMlfOU_SOysC4EVI6WY8c2TrGC1aw0YN8z2Exm8http:/wenku.baidu.com/link?url=tTTbT57qznQ8j3WV_inl33Pugqn4pdMvPGnwJ051Sovh3_D8syj8y_A5dNUhQW1TAGAYQDVsHE3L9W_L60hfecX_aPO8WqN21OVSHv4DM3a9http:/wenku.baidu.com/link?url=ArtW493W6YvxpMYAP_4LChiBue19uVRrmIL3asGqlTvWCU97BltJ30SkEf6D5znor468WvWLUB4MQFkoFx0knmeyhCh02DEK9j3nknuMHcm10http:/wenku.baidu.com/link?url=LiDwHICMXK4GOYaPyZPbiG9D4XksJ_jsJ-cad7eNKBYsYcH7v_m_76NrzPF82fUj1sDKFO4U-0o5TaE0R9YP578PP91AraJPRFNhOkmVEla11http:/baike.baidu.com/link?url=kHtmhQZqQ5DOuMLN-ObAENyVUniKNWnWfk6gQGsEaJmsnQeqc0Xs6UeqD2VLXHVVStYWUqt-NHgYGNljJV807K12 http:/www.doc88.com/p-50286329570.html附錄附錄1系統(tǒng)仿真電路圖:附圖一第 24 頁

注意事項

本文(籃球比賽計時器.doc)為本站會員(最***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!