九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

EDA課程設(shè)計(jì)《電梯控制器》

  • 資源ID:161146428       資源大?。?span id="24d9guoke414" class="font-tahoma">362.61KB        全文頁數(shù):30頁
  • 資源格式: DOCX        下載積分:30積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要30積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

EDA課程設(shè)計(jì)《電梯控制器》

前言11緒論21.1課程設(shè)計(jì)題目21.2設(shè)計(jì)目的21.3課程設(shè)計(jì)要求21.4課程設(shè)計(jì)思想32軟件介紹43課程設(shè)計(jì)步驟63.1狀態(tài)機(jī)的基本原理63.2電梯控制器的功能模塊63.3電梯控制器的流程圖73.4電梯控制器的VHDL描述模塊流程83.5實(shí)體設(shè)計(jì)83.6結(jié)構(gòu)體設(shè)計(jì)83.7 VHDL源代碼語法的簡單說明93.8電梯輸入輸出端口圖104三層電梯控制器的調(diào)試及仿真114.1程序的調(diào)試114.2波形仿真115課程設(shè)計(jì)的意義155.1設(shè)計(jì)背景155.2課程設(shè)計(jì)的意義155.2.1中國電梯的現(xiàn)狀155.2.2電梯的節(jié)能和環(huán)保165.2.3電梯的智能化166總結(jié)17參考文獻(xiàn)18附錄19冃U言電梯作為垂直方向的交通工具,在高層建筑和公共場(chǎng)所已成為不可或缺 的設(shè)備。中國是全球最大的電梯市場(chǎng),也具有最強(qiáng)的電梯生產(chǎn)能力,但由于 缺乏自主知識(shí)產(chǎn)權(quán)和核心技術(shù),自主品牌占市場(chǎng)的份額很少。因此要加大對(duì) 電梯技術(shù)的創(chuàng)新和發(fā)展,提升電梯的性能,就需要引進(jìn)更好的技術(shù),電梯控 制器就是很好的裝置,大力開發(fā)控制器是很必要的。電梯控制器可以有很多實(shí)現(xiàn)方式,本設(shè)計(jì)用了 EDA技術(shù)進(jìn)行操作。EDA 技術(shù)打破了軟件和硬件間的壁壘,使計(jì)算機(jī)的軟件技術(shù)與硬件實(shí)現(xiàn)、設(shè)計(jì)效 率與產(chǎn)品性能合二為一,它代表了電子設(shè)計(jì)技術(shù)和應(yīng)用技術(shù)的發(fā)展方向。 VHDL主要用于描述數(shù)字系統(tǒng)的接口,結(jié)構(gòu)和功能,它的語法簡單易懂, 移植性好。我設(shè)計(jì)的是一個(gè)3層電梯控制器。分為主控制器和分控制器。主控制器 是電梯內(nèi)部的控制器,每層電梯入口處有一個(gè)分控制器。本設(shè)計(jì)采用VHDL, 源程序Altera公司的Quartus II軟件仿真。運(yùn)用有限狀態(tài)機(jī)的設(shè)計(jì)方法,設(shè) 計(jì)了兩個(gè)進(jìn)程相互配合,狀態(tài)機(jī)進(jìn)程作為主要進(jìn)程,信號(hào)燈控制進(jìn)程作為輔 助進(jìn)程。在主進(jìn)程中定義了十個(gè)狀態(tài),ST0P0N1,DOOROPEN, DOORCLOSE,DOORWAIT1,D00RWAIT2, D00RWAIT3, D00RWAIT4, UP,DOWN,STOP。在電梯時(shí)鐘的觸發(fā)下,通過當(dāng)前狀態(tài)和信號(hào)燈信號(hào)來 判定下一狀態(tài)。信號(hào)燈控制進(jìn)程中,信號(hào)燈信號(hào)存儲(chǔ)按鍵請(qǐng)求情況,它的熄 滅是由狀態(tài)機(jī)進(jìn)程中傳出的信號(hào)來控制。1緒論電梯控制器由硬件和軟件兩大部分組成。硬件包括控制器、控制器地板、 讀卡天線、電源、寫卡器、IC卡片等組成內(nèi)呼控制器,軟件包括下位機(jī)運(yùn)行 控制軟件、上位機(jī)寫卡授權(quán)軟件。我設(shè)計(jì)的三層電梯控制器主要應(yīng)用EDA電 子電路技術(shù)。EDA的發(fā)展使得設(shè)計(jì)更具有靈活性。隨著我國經(jīng)濟(jì)持續(xù)增長、城鎮(zhèn)化建設(shè)的加速和房地產(chǎn)行業(yè)的進(jìn)一步發(fā)展, 對(duì)電梯的需求越來越大。所以電梯控制器就需要大強(qiáng)度的發(fā)展,來滿足社會(huì) 的需求。電梯的發(fā)展更加的智能化,節(jié)約化。對(duì)社會(huì)的發(fā)展,人民生活水平 的提咼具有很大的意義。所以加大對(duì)電梯控制器的發(fā)展,和技術(shù)上的研究是 很重要的。隨著EDA技術(shù)發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息、 通訊、自動(dòng)控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性突出。隨著技術(shù)市場(chǎng)與人才市 場(chǎng)對(duì)EDA的需求不斷提高,產(chǎn)品的市場(chǎng)需求和技術(shù)市場(chǎng)的要求也必然會(huì)反映 到教學(xué)領(lǐng)域和科研領(lǐng)域中來。EDA技術(shù)的提高,促進(jìn)了電梯控制器的發(fā)展。電梯行業(yè)也隨著科技的發(fā)展,不斷地出現(xiàn)在人們生活的各個(gè)場(chǎng)所,因此, 對(duì)電梯控制器的設(shè)計(jì)是一個(gè)很實(shí)用的例子,對(duì)掌握EDA技術(shù)的應(yīng)用也有很大 的幫助。1.1課程設(shè)計(jì)題目電梯控制器1.2設(shè)計(jì)目的進(jìn)一步鞏固理論知識(shí),培養(yǎng)所學(xué)理論知識(shí)的在實(shí)際中的應(yīng)用能力;掌握 EDA設(shè)計(jì)的一般方法;熟悉一種EDA軟件,掌握一般EDA系統(tǒng)的調(diào)試方法; 利用EDA軟件設(shè)計(jì)一個(gè)電子技術(shù)綜合問題,培養(yǎng)VHDL編程、書寫技術(shù)報(bào) 告的能力。為以后進(jìn)行工程實(shí)際問題的研究打下設(shè)計(jì)基礎(chǔ)。1.3課程設(shè)計(jì)要求設(shè)計(jì)一電梯控制器實(shí)現(xiàn)如下功能:一個(gè)3層電梯控制器。分為主控制器和分控制器。主控制器是電梯內(nèi)部 的控制器,每層電梯入口處有一個(gè)分控制器。主控制器的功能如下:1在電梯開關(guān)時(shí)相應(yīng)請(qǐng)求,否則不響應(yīng);2電梯初始位置是一層;3.電梯運(yùn)行時(shí),指示方向和當(dāng)前所在樓層;4電梯每秒升降一層5.當(dāng)電梯到達(dá)所請(qǐng)求的樓層時(shí),自動(dòng)開門,等待4秒后自動(dòng)關(guān)門,繼續(xù) 運(yùn)行,如果沒有請(qǐng)求信號(hào),停留在當(dāng)前樓層;6收到請(qǐng)求后,自動(dòng)到達(dá)用戶所在樓層,自動(dòng)開門;7. 記憶電梯內(nèi)外所有請(qǐng)求,并按電梯運(yùn)行順序執(zhí)行,在執(zhí)行后清除請(qǐng)求;8. 電梯運(yùn)行規(guī)則:當(dāng)電梯處于上升狀態(tài)時(shí),僅響應(yīng)比電梯位置高的用戶 的請(qǐng)求;當(dāng)電梯處于下降狀態(tài)時(shí),僅響應(yīng)比電梯位置更低的用戶請(qǐng)求;分控制器的功能如下:1設(shè)有上升請(qǐng)求按鈕和下降請(qǐng)求按鈕,實(shí)時(shí)檢測(cè)用戶按鍵;2. 指示電梯當(dāng)前坐在樓層3. 當(dāng)電梯到達(dá)本層是,清除請(qǐng)求。1.4課程設(shè)計(jì)思想實(shí)驗(yàn)?zāi)M生活中電梯運(yùn)動(dòng)控制,電梯總共三層,最簡單的控制思想如下: 采集用戶呼叫樓層,并放入相應(yīng)的記憶單元中;不考慮電梯轎廂所在樓層。 若有用戶呼叫,電梯上行直到達(dá)到用戶呼叫最大層,再下行直到到達(dá)最低層, 由此構(gòu)成一次行程;每完成一次行程,檢測(cè)是否所用用戶呼叫均已響應(yīng)完畢。 如果沒有,電梯繼續(xù)運(yùn)行,直到響應(yīng)完所有用戶呼叫。否則,電梯停止運(yùn)行; 其中用戶請(qǐng)求包括外部請(qǐng)求和內(nèi)部請(qǐng)求。有外部升降請(qǐng)求信號(hào)需點(diǎn)亮相應(yīng)的 外部請(qǐng)求指示燈,內(nèi)部升降請(qǐng)求信號(hào)同外呼;根據(jù)電梯所在樓層,七段數(shù)碼 管顯示樓層數(shù);根據(jù)用戶運(yùn)行方向,點(diǎn)亮相應(yīng)升降指示燈;當(dāng)電梯運(yùn)行到有 相應(yīng)外部呼叫或內(nèi)部呼叫樓層時(shí),電梯停止運(yùn)行,滅掉相應(yīng)的呼叫顯示燈, 電梯開關(guān)門后,繼續(xù)運(yùn)行。電梯控制器設(shè)計(jì)兩個(gè)進(jìn)程相互配合,狀態(tài)機(jī)進(jìn)程作為主要進(jìn)程,信號(hào)燈 控制進(jìn)程作為輔助進(jìn)程。根據(jù)電梯的實(shí)際工作情況,可以為狀態(tài)機(jī)設(shè)置十個(gè) 狀態(tài),它們分別是“電梯停在一層” “開門” “關(guān)門” “開門等待第一秒” “開 門等待第二秒”“開門等待第三秒”“開門等待第四秒”“上升”“下降”和“停 止”。由于電梯每秒上升或下降一層,則可以用周期為1S的信號(hào)作為電梯狀 態(tài)轉(zhuǎn)換的觸發(fā)時(shí)鐘。狀態(tài)機(jī)進(jìn)程中的很多判斷條件是以信號(hào)燈控制進(jìn)程產(chǎn)生 的信號(hào)燈信號(hào)為依據(jù),而信號(hào)燈控制進(jìn)程中信號(hào)燈的熄滅又是由狀態(tài)機(jī)進(jìn)程 中傳出的信號(hào)來控制。2軟件介紹Quartus設(shè)計(jì)軟件是Altera提供的完整的多平臺(tái)設(shè)計(jì)環(huán)境,能夠直接滿足 特定設(shè)計(jì)需要,為可編程芯片系統(tǒng)(SOPC)提供全面的設(shè)計(jì)環(huán)境。Quartus 軟件含有FPGA和CPLD設(shè)計(jì)所有階段的解決方案。Quartus II作為一種可編程邏輯的設(shè)計(jì)環(huán)境,由于其強(qiáng)大的設(shè)計(jì)能 力和直觀易用的接口,越來越受到數(shù)字系統(tǒng)設(shè)計(jì)者的歡迎。Quartus II(3.0和更高版本)設(shè)計(jì)軟件是業(yè)界唯一提供FPGA和固定功能HardCopy器件統(tǒng)一設(shè)計(jì)流程的設(shè)計(jì)工具。工程師使用同樣的低價(jià)位 工具對(duì)Stratix FPGA進(jìn)行功能驗(yàn)證和原型設(shè)計(jì),又可以設(shè)計(jì)HardCopy Stratix 器件用于批量成品。系統(tǒng)設(shè)計(jì)者現(xiàn)在能夠用Quartus II軟件評(píng)估HardCopy Stratix器件的性能和功耗,相應(yīng)地進(jìn)行最大吞吐量設(shè)計(jì)。Altera的Quartus II可編程邏輯軟件屬于第四代PLD開發(fā)平臺(tái)。該平臺(tái)支 持一個(gè)工作組環(huán)境下的設(shè)計(jì)要求,其中包括支持基于In ter net的協(xié)作設(shè)計(jì)。 Quartus 平臺(tái)與 Cadence、xemplarLogic、MentorGraphics、ynopsys和 Synplicity 等EDA供應(yīng)商的開發(fā)工具相兼容。改進(jìn)了軟件的LogicLock模塊設(shè)計(jì)功能,增 添了 FastFit編譯選項(xiàng),推進(jìn)了網(wǎng)絡(luò)編輯性能,而且提升了調(diào)試能力。2.0版Quartus II設(shè)計(jì)軟件現(xiàn)在除了支持 Altera的APEX 20KE,APEX 20KC,APEX II,ARM 的 Excalibur 嵌入處理器方案,Mercury,F(xiàn)LEX10KE 和 ACEX1K 之外,還支持 MAX3000A,MAX7000 系列乘積項(xiàng)器件。 MAX3000A和MAX7000設(shè)計(jì)者現(xiàn)在可以使用 QuartusII設(shè)計(jì)軟件中才有 的所有強(qiáng)大的功能。QuartusII2.0安裝軟件為290M,完全安裝為700M,如果定制安裝,不 選擇Excalibur嵌入處理器,則安裝所需空間為460M,比QuartusII1.1版本減少一半以上的空間要求,卻能支持ALTERA全部芯片的開發(fā)。同時(shí)軟件的裝載,編譯,仿真速度比1.1版本大大加快。QuartusII2.0設(shè)計(jì)軟件通過增強(qiáng)層次LogicLock模塊級(jí)設(shè)計(jì)方式,將 性能平均改善15%。LogicLock設(shè)計(jì)流程把整個(gè)模塊的放置交由設(shè)計(jì)者控 制,如果必要的話,可以采用輔助平面布置。LogicLock設(shè)計(jì)流程運(yùn)行設(shè) 計(jì)者單獨(dú)地優(yōu)化和鎖定每個(gè)模塊的性能,在大型 SOPC設(shè)計(jì)的構(gòu)建過程 中也保持整個(gè)系統(tǒng)的性能。2.0版Quartus II設(shè)計(jì)軟件把新的LogicLock 設(shè)計(jì)流程算法集成到未來的Altera器件中,該算法充分利用了模塊級(jí)設(shè) 計(jì)的優(yōu)勢(shì)。QuartusII2.0增加了一個(gè)新的快速適配編譯選項(xiàng),選擇中這個(gè)選項(xiàng), 將會(huì)比缺省設(shè)置要縮短50%的編譯時(shí)間??焖龠m配功能保留了最佳性能 的設(shè)置,加快了編譯過程。這樣布局適配算法反復(fù)的次數(shù)更少,編譯速度更快,對(duì)設(shè)計(jì)性能的影響最小。2.0版Quartus II設(shè)計(jì)軟件引入了新的功能,加快驗(yàn)證過程,這通常 是SOPC設(shè)計(jì)流程中最漫長的階段。在最初的編譯時(shí)間中,新的 SignalProbe技術(shù)允許用戶在保留設(shè)計(jì)最初布線,時(shí)限和設(shè)計(jì)文件的同時(shí) 把內(nèi)部節(jié)點(diǎn)引到未用的管腳進(jìn)行分析。SignalProbe技術(shù)完成了現(xiàn)有 SignalTap嵌入邏輯分析的功能。而且,設(shè)計(jì)者能夠使用新版本中提供的 HDL測(cè)試模板快速地開發(fā)HDL仿真矢量。2.0版Quartus II設(shè)計(jì)軟件也可以自動(dòng)地從 QuartusII仿真器波形文件 中創(chuàng)建完整的HDL測(cè)試平臺(tái)。2.0版Quartus II設(shè)計(jì)軟件也支持高速I/O設(shè)計(jì),生成專用I/O緩沖 信息規(guī)范(IBIS )模型導(dǎo)入到常用的EDA信號(hào)集成工具中。IBIS模型根據(jù) 設(shè)計(jì)中每個(gè)管腳的I/O標(biāo)準(zhǔn)設(shè)置來定制,簡化第三方工具的分析。3課程設(shè)計(jì)步驟3.1狀態(tài)機(jī)的基本原理狀態(tài)機(jī)是表示有限個(gè)狀態(tài)以及這些狀態(tài)之間的轉(zhuǎn)移和動(dòng)作等行為的數(shù)學(xué) 模型,它是由一組狀態(tài)、一個(gè)初始狀態(tài)、輸入和根據(jù)輸入及現(xiàn)有狀態(tài)轉(zhuǎn)換為 下一個(gè)狀態(tài)的轉(zhuǎn)換函數(shù)組成。有限狀態(tài)機(jī)是一種基本的、簡單的、重要的形 式化技術(shù),在軟件設(shè)計(jì)中常常采用。它是描述一個(gè)由有限個(gè)獨(dú)立狀態(tài)組成的 過程,這些狀態(tài)可以互相遷移,直到最終離開這個(gè)過程。采用有限狀態(tài)機(jī)可 以使設(shè)計(jì)過程直觀簡單易于理解,隨著硬件設(shè)計(jì)軟件化趨勢(shì)的加劇,在運(yùn)用 VHDL硬件描述語言設(shè)計(jì)數(shù)字系統(tǒng)時(shí),利用有限狀態(tài)機(jī)成為了可靠方便的途 徑??刂破髯鳛殡娮酉到y(tǒng)設(shè)計(jì)的核心部分,在EDA軟件平臺(tái)上,借助有限狀 態(tài)機(jī)表示方法符合人的邏輯思維的特征,將控制功能用有限狀態(tài)機(jī)來建模實(shí) 現(xiàn),有許多優(yōu)越之處,以使FSM成為大型控制電路設(shè)計(jì)的有力工具。除了輸人信號(hào)、輸出信號(hào)外,狀態(tài)機(jī)還包含一組寄存器記憶內(nèi)部狀態(tài)。 狀態(tài)機(jī)寄存器的下一個(gè)狀態(tài)及輸出,不僅同輸入信號(hào)有關(guān),而且還與寄存器 的當(dāng)前狀態(tài)有關(guān),狀態(tài)機(jī)有兩個(gè)主要部分:即組合邏輯和寄存器部分。組合 邏輯部分又可分為狀態(tài)譯碼器和輸出譯碼器,狀態(tài)譯碼器確定狀態(tài)機(jī)的下一 個(gè)狀態(tài),即確定狀態(tài)機(jī)的激勵(lì)方程,輸出譯碼器確定狀態(tài)機(jī)的輸出,即確定 狀態(tài)機(jī)的輸出方程。3.2電梯控制器的功能模塊電梯控制器的功能模塊如圖4-1所示,包括主控制器、分控制器、樓層 選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達(dá)的 樓層,通過主控制器的處理,電梯開始運(yùn)行,狀態(tài)顯示器顯示電梯的運(yùn)行狀 態(tài),電梯所在樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯示。分控制器把 有效的請(qǐng)求傳給主控制器進(jìn)行處理,同時(shí)顯示電梯的運(yùn)行狀態(tài)和電梯所在樓 層數(shù)。由于分控制器相對(duì)簡單很多,所以主控制器是核心部分。圖3-1電梯控制器原理圖圖3-3電梯控制主流程圖3.4電梯控制器的VHDL描述模塊流程三層電梯控制器的設(shè)計(jì)主要是對(duì)實(shí)體和結(jié)構(gòu)體的設(shè)計(jì),它的VHDL描述 模塊流程如圖4-4所示:圖3-4三成電梯控制器的VHDL描述模塊流程3.5實(shí)體設(shè)計(jì)實(shí)體設(shè)計(jì)即是對(duì)端口名、端口模式及數(shù)據(jù)類型的說明。首先考慮輸入端 口,一個(gè)異步復(fù)位端口 “RESET”,用于當(dāng)電梯出現(xiàn)非正常情況時(shí)回到初始狀 態(tài);在電梯外部,一層入口處設(shè)有上升請(qǐng)求端,二層入口處設(shè)有上升和下降 請(qǐng)求端,三層入口處設(shè)有下降請(qǐng)求端;在電梯內(nèi)部,應(yīng)設(shè)有各層停站請(qǐng)求端 口; 一個(gè)電梯時(shí)鐘輸入端口,它提供周期為Is的時(shí)鐘信號(hào),用作電梯狀態(tài)轉(zhuǎn) 換的觸發(fā)時(shí)鐘;還有一個(gè)頻率很高的按鍵時(shí)鐘輸入端口。其次考慮輸出端口, 當(dāng)有各層上升或下降請(qǐng)求時(shí),各層入口處應(yīng)該有端口顯示請(qǐng)求是否被響應(yīng), 有請(qǐng)求時(shí)端口輸出邏輯 1',被執(zhí)行后則恢復(fù)成邏輯0';同樣的,電梯 內(nèi)部也應(yīng)有各層停站請(qǐng)求是否被響應(yīng)的指示端口; 一個(gè)開關(guān)門指示端口,當(dāng) 門開著時(shí),它為邏輯 1',門關(guān)著時(shí),則為邏輯0';還需要端口來顯示 電梯所處的位置和模式(上升或下降)。3.6結(jié)構(gòu)體設(shè)計(jì)在結(jié)構(gòu)體中,首先說明了狀態(tài)機(jī)設(shè)置的十個(gè)狀態(tài),分別是:電梯停在1 層(STOPON1)、開門(DOOROPEN)、關(guān)門(DOORCLOSE)、開門等待第 1 秒(D00RWAIT1)、開門等待第2秒(D00RWAIT2)、開門等待第3秒 (D00RWAIT3)、開門等待第 4 秒(D00RWAIT4)、上升(UP)、下降(DOWN) 和停止(STOP)。在結(jié)構(gòu)體最前端用如下的定義語句,來定義狀態(tài)機(jī)。TYPE LIFT_STATE IS (STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DO ORWAIT3,DOORWAIT4,UP,DOWN,STOP);圖3-5狀態(tài)圖接著描述電梯內(nèi)部功能實(shí)現(xiàn),在結(jié)構(gòu)體中設(shè)計(jì)了兩個(gè)進(jìn)程,一個(gè)狀態(tài)機(jī) 進(jìn)程(CTRLIFT),它是以RESET和LIFTCLK作為敏感信號(hào),控制電梯的 狀態(tài)轉(zhuǎn)移;另外一個(gè)是信號(hào)燈控制進(jìn)程(CTRLIGHT),它是以RESET和 BUTTONCLK作為敏感信號(hào),控制寄存信號(hào)的邏輯值。在狀態(tài)機(jī)進(jìn)程中,電 梯關(guān)門后根據(jù)信號(hào)燈的情況,來決定下一個(gè)狀態(tài)是上升、下降還是停止;在 信號(hào)燈控制進(jìn)程中,由于使用了專門的頻率較高的按鍵時(shí)鐘,所以使得按鍵 的靈敏度大,但是時(shí)鐘頻率不能過高,否則容易使按鍵過于靈敏,而信號(hào)燈 的熄滅是由狀態(tài)機(jī)進(jìn)程中傳出CLEARUP和CLEARDN信號(hào)來控制。3.7 VHDL源代碼語法的簡單說明本程序設(shè)計(jì)調(diào)用了 IEEE庫,IEEE庫是VHDL設(shè)計(jì)中最為常用的庫,它包含有IEEE標(biāo)準(zhǔn)的程序包和其他一些支持工業(yè)標(biāo)準(zhǔn)的程序包。本設(shè)計(jì)米用 STD-LOGIC-1164、STD-LOGIC-UNSIGNED、STD-LOGIC-ARITH 程序包。以關(guān)鍵詞ENTITY引導(dǎo),END ENTITY THREELIFT結(jié)尾的部分是程序 的實(shí)體部分。VHDL的實(shí)體描述了電路器件的外部情況,本設(shè)計(jì)定義了關(guān)于 三層電梯控制器用到的各類時(shí)鐘、異步復(fù)位按鍵、信號(hào)燈指示端口、電梯的 請(qǐng)求端口。它描述了端口模式主要有IN、BUFFER、OUT,以及各端口信號(hào) 的數(shù)據(jù)類型主要有 STD-LOGIC、STD-LOGIC-VECTOR、INTEGERo以關(guān)鍵詞 ARCHITECTURE 引導(dǎo),END ARCHITECTURE ONE 結(jié)尾的 語句部分是結(jié)構(gòu)體部分,結(jié)構(gòu)體描述電路器件的內(nèi)部邏輯功能。3.8電梯輸入輸出端口圖BUTTONCLKPOSITION 1.0LIFTCLKUDSIGRESETFUPLIGHrr3.1F1UPBUTTONFDMLIGHT3.1F2UPBUTTONSTOPLIGHTI3.1F2DN BUTTONDOORLIGHTF3DN BUTTONDCUT13.OSTOP1 BUTTONSTOP2BUTTONSTOP3BUTTON: Z|X : : : : Z|X : 5宀圖3-6電梯輸入輸出口4三層電梯控制器的調(diào)試及仿真4.1程序的調(diào)試在程序編寫完成并保存于工程文件夾后,可以把它置頂進(jìn)行編譯,看是 否出現(xiàn)錯(cuò)誤。在第一次編譯時(shí)出現(xiàn)了很多問題,主要是由于沒有注意到文件 名必須與實(shí)體名相同,并且后綴是.VHD,還有粗心所造成的端口名書寫錯(cuò)誤, 以及有的IF語句結(jié)束時(shí)忘了寫END IF。在波形仿真中,發(fā)現(xiàn)一個(gè)錯(cuò)誤,在 狀態(tài)進(jìn)程中,在當(dāng)前狀態(tài)為DOORCLOSE,討論次態(tài)的過程中,忽略了對(duì)本 層請(qǐng)求的考慮,即當(dāng)電梯停在某層時(shí),當(dāng)前層入口處有上升或下降請(qǐng)求時(shí), 無法響應(yīng)。經(jīng)過思考,我添加了本層請(qǐng)求響應(yīng)語句,最終實(shí)現(xiàn)了三層電梯控 制器的功能。4.2波形仿真在波形仿真中,根據(jù)實(shí)際,我們有必要做一些假設(shè),即是:1.外部請(qǐng)求上升的乘客,進(jìn)入電梯后一定是按更高層的停站按鈕; 2外部請(qǐng)求下降的乘客,進(jìn)入電梯后一定是按更低層的停站按鈕;3.如果有乘客進(jìn)入電梯,則一定有停站請(qǐng)求;4同一時(shí)刻有很多人按鍵的概率很小,所以我們認(rèn)為請(qǐng)求信號(hào)都有一定 的先后順序。設(shè)定仿真時(shí)間長度為 200us,LIFTCLK信號(hào)為周期10ns的時(shí)鐘信 號(hào),BUTTONCLK信號(hào)為周期1ns的時(shí)鐘信號(hào)。DOORLIGHT信號(hào)邏輯1 表示開門,邏輯0'表示關(guān)門。UDSIG信號(hào)為邏輯1表示電梯處在上升 模式,邏輯 0'表示處在下降模式。FUPLIGHT,FDNLIGHT,STOPLIGHT 是三位二進(jìn)制向量,波形圖中的1代表“001”,表示一層有請(qǐng)求,2代表“010”, 表示二層有請(qǐng)求,4代表“100”,表示三層有請(qǐng)求。圖4-1所示的波形是在一層有上升請(qǐng)求的仿真波形,在RESET信號(hào)產(chǎn)生 一個(gè)脈沖時(shí),電梯回復(fù)初始狀態(tài),即STOPON1狀態(tài),然后等待4s,關(guān)門檢 測(cè)沒有請(qǐng)求信號(hào),于是電梯此時(shí)停在一層。當(dāng)電梯時(shí)鐘上升沿檢測(cè)到一層上 升請(qǐng)求信號(hào)FUPLIGHT為“ 1”時(shí),電梯開門,F(xiàn)UPLIGHT(1)清零,等待 4s,關(guān)門檢測(cè)到二層停站請(qǐng)求,于是電梯上升到二層停止,開門STOPLIGHT(2) 清零,POSITION信號(hào)由1變?yōu)?,電梯最終停在二層。9.6 nsPointer:Start: |34.19 nsInterval:24.59 ns| 怎 Master Time Bar:圖4-1有上升請(qǐng)求的仿真波形圖4-2所示的波形是三層有下降請(qǐng)求的波形,三樓有請(qǐng)求時(shí)FDNLIGHT 顯示喂“100”為4。當(dāng)電梯在一層關(guān)門后,檢測(cè)到FDLIGHT為“100”,則 上升到三層,相應(yīng)過請(qǐng)求后,F(xiàn)DNLIGHT變?yōu)椤?00”。開門等待4s,關(guān)門 檢測(cè)到STOPLIGHT為“1”,于是電梯下降到一層,最終停在一層。POSITION 在一樓為“ 1”,在二樓為“2”,在三樓為“3”。Master Time Bar: |9.6 ns> Pointer: |81.92 nsInterval: |72.32 nsStart: |End:Name200 ns60. Cn100.j HE140.0 ns180.°址220.°ns260.°ns300-,°ns9. 6 ns2. 6 n=P0BI.ITTONCLECA 11D00RUGHT丸LI111>2 noi.rriA 3:«X31X 210FIBPBBTTONA 0F2DNB1TTT0NA 0"9F2BPBBTT0NA 0*10F3DNB1TTT0NA 0>11+ FDNLIGHTA Li0LOJ參15 FlfT'LIGHTA LU0P19LIFTCLKA 1>20S POSITIONA 3t1:2X3X1*23EESETA 01*24ST0P1BUTT0KA 0"25ST0P2BUTT0N丸LI1*26ST0P3BUTT0NA 01©27 STOFUGHTA LU0X10:抄31l.fliSIGA 0JLJ圖4-2有下降請(qǐng)求的仿真波形圖4-3所示的波形是二層和三層都有下降請(qǐng)求的仿真波形,當(dāng)電梯在一 層關(guān)門后,檢測(cè)到FDNLIGHT為“010”為2,說明二樓有下降請(qǐng)求,然后 檢測(cè)到FDNLIGHT為“ 110”為6,說明二樓三樓都有下降請(qǐng)求,則直接上 升到三層,開門后FDNLIGHT(3)清零,等待4s后,關(guān)門下降到二層停止, 開門后FDNLIGHT(2)和STOPLIGHT(2)清零,再下降到一層。9.6 ns±1 Pointer: |Start: |Master Time Bar:92.97 nsInterval:Enc圖4-3有多個(gè)下降請(qǐng)求的仿真波形圖4-4所示的波形為有多個(gè)停站請(qǐng)求的仿真波形,電梯在一層關(guān)門后, 檢測(cè)到有二樓停站請(qǐng)求,STOPLIGHT為“010”,又有三樓停站請(qǐng)求,所以 STOPLIGHT為“110”,則上升至二層停止開門,STOPLIGHT清零,等 待4s后關(guān)門,繼續(xù)上升至三層,開門后STOPLIGHT(3)清零,乘客下站后電 梯最終停在三層。Master Time Bar: |9.6 ns彳 Pointer:98.56nsInterval:188.96 nsStart:1End:40.0 ns120.0 ns160.240.0 ns280.0 ns320.0 nsi1iN am e9. 6 ns10El.rTTONCLlLA 1妙1IiOORUGKTA 0L lI11 1I參2 D0UT1A 31*2X3ATFll.TEl.fTTONA 0rnF2DHBUTT0NA 0A9F2UFBUTT0NA 0» 10F3DHBUTT0NA 011田 FDNLIGHTA 0L尋15 FUFUGHTA 00:EHX0» 19UFTCLKA 120H POSITIONA 312X3A 23EESETA 0ST0F1BUTT0NA 0A 25ST0F2EUTT0NA 0nA 26ST0F3EUTT0NA 027田 STOFUGKTA 006X4X0襯31imsiGA 01|圖4-4有多個(gè)停站請(qǐng)求的仿真波形圖4-5所示,二層同時(shí)有上升和下降請(qǐng)求,FUPLIGHT (2)和FDNLIGHT(2)都為“1”,電梯上升至二層,門打開等待4s,關(guān)門后檢測(cè)到STOPIGHT 和FDNLIGHT(2)都為“1”,它會(huì)先上升至三層,再下降至二層,待有下降請(qǐng) 求的乘客上電梯后,最后下降至一層。鳥 Master Time Bar:Pointer:|_81.83 nsInterval:72.23 nwStart:End:鈕2畛TP9畛10參11參15畛19參20畛23畛24M25126參2T£>31Value at9. 6 ns200 ns60. 0 ns工6 ns100.0 ns 140.0 ns180.0 ns 220. 0 ns 260. 0 ns 300.0 ns340.0 nsBUTTONCLKk 1DOOmGHTA 0田 D0UT1A 3FIUPBUTTOITk 0F2DKBUTT0Wk 0F21.TBl.rnOKA 0F3DffBirrT01TA 0國 FIIHUGHTA 0田 FUFUGHTA LiUFTCIZA 1S POSITIOffA 3RESET九0ST0F1BUTT0NA 0ST0P2BUTT0Hk 0ST0P3BUTT0M九0S ETDFUGHTA 0IHSIGk 0Name1¥2X32I:1n0::2X3CO2X012X3X:2:®:1Jnn0X, t41沖1/:rTiWf?iVrviVr?i :Wrii-i-圖4-5同時(shí)有上升和下降請(qǐng)求的仿真波形從前面所有的仿真波形來看,電梯的運(yùn)行情況完全符合它的運(yùn)行規(guī)則。5課程設(shè)計(jì)的意義5.1設(shè)計(jì)背景近年來,隨著我國房地產(chǎn)業(yè)的持續(xù)高速發(fā)展,高層建筑越來越多。因此, 一種能使人們快速、便捷地到達(dá)目的樓層的電梯便應(yīng)運(yùn)而生了。分析近幾年 房地產(chǎn)業(yè)的發(fā)展趨勢(shì),特別是商品住宅的高速發(fā)展,將使住宅對(duì)電梯的需求 量持續(xù)攀升。人們對(duì)電梯安全性、高效性、舒適性的不斷追求也推動(dòng)了電梯 技術(shù)的進(jìn)步。隨著電梯技術(shù)的發(fā)展,綠色化、低能耗、智能化、網(wǎng)絡(luò)化、藍(lán)牙 技術(shù)的電梯成為一段時(shí)間內(nèi)的發(fā)展趨勢(shì)。為保證人們能更安全、更快捷地到 達(dá)目的樓層,實(shí)現(xiàn)人性化的功能,對(duì)電梯系統(tǒng)中的控制部分進(jìn)行優(yōu)化設(shè)計(jì)是 非常必要。因此這部分的設(shè)計(jì)也就成了在電梯設(shè)計(jì)領(lǐng)域里最為核心的技術(shù)。 為了實(shí)現(xiàn)電梯的智能化,可以采用許多方法。它的智能化控制可以有以下幾 種形式:1.PLC控制;2.單板機(jī)控制;3.單片機(jī)控制;4.單微機(jī)控制;5.多微 機(jī)控制;6人工智能控制。隨著EDA技術(shù)的快速發(fā)展,電子設(shè)計(jì)自動(dòng)化(EDA)逐漸成為重要的 設(shè)計(jì)手段,已經(jīng)廣泛應(yīng)用于模擬與數(shù)字電路系統(tǒng)等許多領(lǐng)域。它是一種實(shí)現(xiàn) 電子系統(tǒng)或電子產(chǎn)品自動(dòng)化設(shè)計(jì)的技術(shù),與電子技術(shù)、微電子技術(shù)的發(fā)展密 切相關(guān),并吸收了計(jì)算機(jī)科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以高性能的計(jì)算 機(jī)作為工作平臺(tái),促進(jìn)了工程發(fā)展。傳統(tǒng)單片機(jī)設(shè)計(jì)的電梯控制外圍電路復(fù) 雜,性能不穩(wěn)定,而采用EDA設(shè)計(jì),卻擁有電子系統(tǒng)小型化、低功耗、高可 靠性、開發(fā)過程投資小、周期短等優(yōu)點(diǎn),而且還可以通過軟件編程對(duì)硬件結(jié) 構(gòu)和工作方式進(jìn)行重構(gòu),使得硬件設(shè)計(jì)如軟件設(shè)計(jì)那般方便快捷。本次設(shè)計(jì) 就是應(yīng)用EDA電子電路技術(shù)來設(shè)計(jì)電梯控制器,從而使用一片芯片就可以實(shí) 現(xiàn)對(duì)電梯的控制的。5.2課程設(shè)計(jì)的意義電梯作為垂直方向的交通工具,在高層建筑和公共場(chǎng)所已經(jīng)成為重要的 建筑設(shè)備而不可或缺。電梯產(chǎn)業(yè)的前景和走勢(shì)隨著社會(huì)的需求而悄然發(fā)生著 改變,除了考慮安全、舒適、豪華裝修等要求外,市場(chǎng)對(duì)新一代的綠色電梯、 節(jié)能電梯和智能電梯的需求越來越旺盛。國內(nèi)外電梯企業(yè)順應(yīng)市場(chǎng)需要,加 大研發(fā)投入,都準(zhǔn)備在未來新概念電梯產(chǎn)業(yè)發(fā)展中占得先機(jī)。5.2.1中國電梯的現(xiàn)狀近年來,隨著中國房地產(chǎn)業(yè)的快速發(fā)展,與之配套的電梯生產(chǎn)制造業(yè)也 經(jīng)歷了迅猛發(fā)展的階段,電梯產(chǎn)量保持了每年20%以上的增長速度。我國電 梯的出口年均增長率將保持在35%以上,電梯行業(yè)逐步成為國內(nèi)比較重要的 行業(yè)。隨著我國經(jīng)濟(jì)持續(xù)增長、城鎮(zhèn)化建設(shè)的加速和房地產(chǎn)行業(yè)的進(jìn)一步發(fā)展, 對(duì)電梯的需求越來越大。估計(jì)未來50年中國新增住房面積將達(dá)到200億平方 米。國家規(guī)定20米以上高樓就應(yīng)安裝電梯,因此未來電梯最大的市場(chǎng)就是住 宅市場(chǎng)。此外,機(jī)場(chǎng)、商場(chǎng)、地鐵等大型公共設(shè)施建設(shè)對(duì)自動(dòng)扶梯、觀光電 梯等電梯的需求量也十分可觀。電梯作為終端消費(fèi)品,品牌在市場(chǎng)競爭中的作用非常明顯。品牌往往成 為人們?cè)谶x擇電梯產(chǎn)品時(shí)的重要考慮因素,電梯生產(chǎn)要想建立良好的品牌并 獲得市場(chǎng)的認(rèn)可,也必須經(jīng)過市場(chǎng)一定時(shí)間的不斷考驗(yàn)。面對(duì)外資巨頭的貼 身進(jìn)逼,我國電梯品牌在服務(wù)和營銷上難以匹敵,其生存狀況不容樂觀。所 以大力發(fā)展電梯控制器技術(shù)對(duì)我國電梯行業(yè)的發(fā)展至關(guān)重要。5.2.2電梯的節(jié)能和環(huán)?!熬G色”已成為21世紀(jì)的主流色調(diào),一個(gè)全球性的綠色市場(chǎng)為企業(yè)的發(fā)展 提供了廣闊的空間,當(dāng)今社會(huì)誰先推出綠色產(chǎn)品,搶占綠色營銷市場(chǎng),誰就 能掌握競爭的主動(dòng)權(quán)。老式電梯噪音、占用空間、耗能等形成的危害積累起來是相當(dāng)大的,因 此,在城市環(huán)保社區(qū)建設(shè)中,如何盡量減少電梯對(duì)環(huán)境的危害是相當(dāng)重要的 課題之一。目前,我國市場(chǎng)每年銷售各種類型的電梯達(dá)4.5萬部,如果這些 電梯全部實(shí)現(xiàn)環(huán)保化,其環(huán)境效益是難以估量的。5.2.3電梯的智能化隨著城市化的高速發(fā)展,越來越多的摩天大樓拔地而起。就摩天大樓的 高度而言,不僅受建筑技術(shù)上的制約,而且還有電梯升高方面的困惑,因而, 在摩天大樓日益完備智能化的趨勢(shì)中,電梯的智能化也不容忽視。它不僅是 人們上上下下的代步工具,同時(shí),也是摩天大樓智能化的一個(gè)重要標(biāo)志。盡 管電梯在摩天大樓中只是一個(gè)細(xì)節(jié),但電梯智能化程度的高低卻決定著它服 務(wù)質(zhì)量的優(yōu)劣。因而,電梯的智能化在一定程度上反映出智能大廈的智能程 度。計(jì)算機(jī)技術(shù),通訊技術(shù)與控制技術(shù)的發(fā)展使大廈的智能化成為現(xiàn)實(shí),而 電梯是智能建筑中的重要交通工具,其技術(shù)發(fā)展及智能化程度也倍受世人關(guān) 注。智能化的電梯要與智能大廈中所有自動(dòng)化系統(tǒng)聯(lián)網(wǎng),如與樓宇控制系統(tǒng)、 消防系統(tǒng)、保安監(jiān)控系統(tǒng)等交互聯(lián)系,使電梯成為高效優(yōu)質(zhì)、安全舒適的服 務(wù)工具。6總結(jié)在這一周的設(shè)計(jì)過程中,除找資料外,大多時(shí)間都是在電腦上進(jìn)行的, 通過反復(fù)的編譯,仿真,不斷試驗(yàn)來實(shí)現(xiàn)所得結(jié)果。在設(shè)計(jì)上,運(yùn)用了 EDA 自上而下的設(shè)計(jì)思想,逐步完善所設(shè)計(jì)功能,同時(shí),用到V HDL語言中的狀 態(tài)機(jī),多進(jìn)程,CASE、IF.ELSE等語句,才達(dá)到以上結(jié)果。在設(shè)計(jì)中也 遇到許多困難,在自己及同學(xué)的共同努力下才完成此設(shè)計(jì)。因此這次課設(shè)給 我?guī)淼氖斋@主要有:進(jìn)一步熟悉Quartus II軟件的使用和操作方法,以及硬件實(shí)現(xiàn)時(shí)的下載 方法與運(yùn)行方法;對(duì)vhdl語言的自頂向下設(shè)計(jì)方法有了進(jìn)一步的認(rèn)識(shí),對(duì)其 中的許多語句也有了新了解,掌握;對(duì)自己獨(dú)立思考和解決問題的能力也有 了很大的鍛煉,同時(shí)同學(xué)間的互幫互助精神也是在課程設(shè)計(jì)中很好體現(xiàn)的。自己做的三層電梯控制器的運(yùn)行情況都能正確的實(shí)現(xiàn),更好的理解了電 梯控制器的工作方式。人們生活中的每個(gè)地方都需要技術(shù)的支持,電梯的發(fā)展極大地提高了人 們的生活水平,使社會(huì)向著繁榮的方向發(fā)展!參考文獻(xiàn)1 潘松黃繼業(yè).EDA技術(shù)實(shí)用教程.北京:科學(xué)出版社,2005.2 徐志軍徐光輝.CPLD/FPGA的開發(fā)與應(yīng)用.北京:電子工業(yè)出版社,2001.3 蘇長贊.電梯設(shè)計(jì)與應(yīng)用.北京:人民郵電出版社,2008.4 樓然苗.CPLD設(shè)計(jì)指導(dǎo).北京:北京航空航天大學(xué)出版社,2007.彭為.數(shù)字系統(tǒng)設(shè)計(jì)北京:電子工業(yè)出版社,2006.戴佳.VHDL程序設(shè)計(jì)實(shí)例精講.北京:電子工業(yè)出版社,2007.7李華.EDA實(shí)用接口技術(shù).北京:北京航空航天大學(xué)出版社2003.附錄LIBRARY IEEE;庫的說明USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;-程序包的說明USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY THREELIFT IS-實(shí)體PORT(BUTTONCLK:IN STD_LOGIC;-按鍵時(shí)鐘信號(hào)LIFTCLK:IN STD_LOGIC;-電梯時(shí)鐘信號(hào)RESET:IN STD_LOGIC;-異步復(fù)位端口F1UPBUTTON:IN STD_LOGIC;-一層上升請(qǐng)求端口F2UPBUTTON:IN STD_LOGIC;-一層上升請(qǐng)求端口F2DNBUTTON:IN STD_LOGIC;-一層下降請(qǐng)求端口F3DNBUTTON:IN STD_LOGIC;-二層下降請(qǐng)求端口STOP1BUTTON:IN STD_LOGIC;-一層停站請(qǐng)求端口STOP2BUTTON:IN STD_LOGIC;-一層停站請(qǐng)求端口STOP3BUTTON:IN STD_LOGIC;-二層停站請(qǐng)求端口P0SITI0N:BUFFER INTEGER RANGE 1 TO 3;-電梯位置信號(hào)UDSIG:BUFFER STD_LOGIC;-電梯模式(上升或下降)信號(hào)FUPLIGHT,FDNLIGHT,STOPLIGHT:BUFFER STD_LOGIC_VECTOR(3DOWNTO 1);-上升、下降、停站請(qǐng)求寄存信號(hào)DOORLIGHT:OUT STD_LOGIC;-開關(guān)門信號(hào)DOUT1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END ENTITY THREELIFT;ARCHITECTURE ONE OF THREELIFT IS-結(jié)構(gòu)體TYPE LIFT_STATE IS-定義十個(gè)狀態(tài)(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT:LIFT_STATE;SIGNAL CLEARUP:STD_LOGIC;號(hào)-上升和停站請(qǐng)求清除信SIGNAL CLEARDN:STD_LOGIC;-下降和停站請(qǐng)求清除信號(hào)BEGINCTRLIFT:PROCESS(RESET,LIFTCLK)狀態(tài)機(jī)進(jìn)程VARIABLE POS:INTEGER RANGE 3 DOWNTO 1;BEGINIF RESET=T THEN-異步復(fù)位,電梯的初始狀態(tài)為一層開門狀態(tài)MYLIFTv=STOPONl;CLEARUPv='O'CLEARDNv='O'ELSEIF LIFTCLK'EVENT AND LIFTCLK=T THENCASE MYLIFT ISWHEN STOPON1=>DOORLIGHTv=T;POSITION<=1;POS:=1;MYLIFT<=DOORWAIT1;WHEN DOORWAIT1=>MYLIFT<=DOORWAIT2;WHEN DOORWAIT2=>CLEARUP<='0'CLEARDN<='0'MYLIFT<=DOORWAIT3;WHEN DOORWAIT3=>MYLIFT<=DOORWAIT4;WHEN DOORWAIT4=>MYLIFT<=DOORCLOSE;WHEN DOORCLOSE=>方式DOORLIGHT<='0'IF UDSIG=T THENIF POSITION=3 THENIF FUPLIGHT="(-電梯等待4S-關(guān)門,判定電梯下一個(gè)運(yùn)行-電梯處在上升模式AND FDNLIGHT="000" ANDSTOPLIGHT="000" THEN沒有請(qǐng)求信號(hào)時(shí),電梯停在當(dāng)前層UDSIGv='O'MYLIFTv=DOORCLOSE;ELSIF FDNLIGHT(3)=T OR STOPLIGHT(3)=T THEN-本層有請(qǐng)求信號(hào)是,電梯開門UDSIG<='0'MYLIFTv=DOOROPEN;ELSE否則下降UDSIG<='0'MYLIFTv=DOWN;END IF;ELSIF POSITION=2 THENIF FUPLIGHT="000" AND FDNLIGHT="000" ANDSTOPLIGHT="000" THENUDSIGv=T;MYLIFTv=DOORCLOSE;ELSIF FUPLIGHT(2)=T OR STOPLIGHT(2)=T THEN-本層有上升或停站請(qǐng)求時(shí)時(shí),電梯開門UDSIGv=T;MYLIFTv=DOOROPEN;ELSIF FUPLIGHT="000" AND STOPLIGHT="000" AND FDNLIGHT="010" THEN只有二層有下降請(qǐng)求時(shí),電梯開門UDSIG<='0'MYLIFTv=DOOROPEN;ELSIF STOPLIGHT(3)=T OR FDNLIGHT(3)=T THEN-三層有停站請(qǐng)求或下降請(qǐng)求,則上升UDSIGv=T;MYLIFT<=UP;ELSEUDSIG<='0'MYLIFT<=DOWN;END IF;ELSIF POSITIONS THENIF FUPLIGHT="OOO" AND FDNLIGHT="OOO"STOPLIGHT="000" THEN UDSIGv=T; MYLIFTv=DOORCLOSE;ELSIF STOPLIGHT(1)=T OR FUPLIGHT(1)=T THENUDSIGv=T;MYLIFTv=DOOROPEN;ELSEUDSIGv=T;MYLIFT<=UP;END IF;END IF;ELSIF UDSIG='0' THEN電梯處在下降模式IF POSITION=3 THENIF FUPLIGHT="000" AND FDNLIGHT="000"STOPLIGHT="000" THENUDSIG<='0'MYLIFTv=DOORCLOSE;ELSIF FDNLIGHT(3)=T OR STOPLIGHT(3)=T THENUDSIG<='0'MYLIFTv=DOOROPEN;ELSEUDSIG<='0'MYLIFTv=DOWN;END IF;ELSIF POSITION=2 THENIF FUPLIGHT="000" AND FDNLIGHT="000"STOPLIGHT="000" THENUDSIG<='0'MYLIFTv=DOORCLOSE;ELSIF FDNLIGHT(2)=T OR STOPLIGHT(2)=T THENUDSIG<='0'MYLIFTv=DOOROPEN;ELSIF FDNLIGHT="000" AND STOPLIGHT="000"ANDANDANDANDFUPLIGHT="010" THENUDSIGv=T;MYLIFTv=DOOROPEN;ELSIF FUPLIGHT(1)=T OR STOPLIGHT(1)=T THEN-一層有停站請(qǐng)求或上升請(qǐng)求,則下降UDSIG<='0'MYLIFTv=DOWN;ELSEUDSIGv=T;MYLIFT<=UP;END IF;ELSIF POSITIONS THENIF FUPLIGHT="000" AND FDNLIGHT="000" ANDSTOPLIGHT="000" THENUDSIGv=T;MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(1)=T OR FUPLIGHT(1)=T THENUDSIGv=T;MYLIFT<=DOOROPEN;ELSEUDSIGv=T;MYLIFT<=UP;END IF;END IF;END IF;WHEN UP=>-電梯處于上升狀態(tài)POSITION<=POSITION+1;電梯樓層數(shù)加一POS:=POS+1;IF POS<3 AND (STOPLIGHT(POS)=T OR FUPLIGHT(POS)=T) THENMYLIFT<=STOP;-電梯在一層或二層,本層有停站或上升請(qǐng)求時(shí),則停止ELSIF POS=3 AND (STOPLIGHT(POS)=T OR FDNLIGHT(POS)=T)THENMYLIFT<=STOP;-電梯處在三層,并且有三層停站或下降請(qǐng)求,則停止ELSEMYLIFTv=DOORCLOSE;END IF;WHEN DOWN=>電梯處在下降狀態(tài)POSmONv=POSITION-l;電梯樓層數(shù)減一POS:=POS-1;IF POS>1 AND (STOPLIGHT(POS)=T AN

注意事項(xiàng)

本文(EDA課程設(shè)計(jì)《電梯控制器》)為本站會(huì)員(suij****uang)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!