九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

直流電機(jī)調(diào)速控制器設(shè)計

  • 資源ID:215663       資源大?。?span id="24d9guoke414" class="font-tahoma">1.24MB        全文頁數(shù):27頁
  • 資源格式: DOC        下載積分:3積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要3積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

直流電機(jī)調(diào)速控制器設(shè)計

1、任務(wù)書課題名稱 直流電機(jī)調(diào)速控制器設(shè)計姓名 學(xué)號 承擔(dān)任務(wù) 評分系統(tǒng)仿真及調(diào)試總體系統(tǒng)方案設(shè)計PWM 脈寬調(diào)制信號產(chǎn)生電路的設(shè)計控制電路的設(shè)計VHDL 程序編寫文檔編輯撰寫設(shè)計要求 設(shè)計一個直流電機(jī) PWM 調(diào)速控制器,并能進(jìn)行正反轉(zhuǎn)控制。I摘 要在現(xiàn)代工業(yè)生產(chǎn)中電機(jī)是不可或缺的,電機(jī)分為交流電機(jī)和直流的電機(jī),而直流電機(jī)的主要優(yōu)點(diǎn)是能夠在較大的范圍內(nèi)調(diào)速,因此直流電機(jī)在生產(chǎn)和生活中也得到廣泛的應(yīng)用。直流電動機(jī)轉(zhuǎn)速的控制方法可分為倆類,即勵磁控制法與電樞電壓控制法。PWM(脈寬調(diào)制)是常用的一種調(diào)速方法,其基本原理是用改變電機(jī)電樞電壓的接通和斷開的時間比來控制馬達(dá)的速度,在脈寬調(diào)速系統(tǒng)中,當(dāng)電機(jī)通電時,其速度增加,電機(jī)斷電時,其速度減低。要按照一定的規(guī)律改變通、斷電的時間,即可使電機(jī)的速度達(dá)到并保持一穩(wěn)定值。并且結(jié)合 VHDL 語言實(shí)現(xiàn)硬件設(shè)計軟件化。關(guān)鍵字:PWM,直流電機(jī),VHDL0目 錄第一章 方案設(shè)計 .11.1 直流電機(jī)基本結(jié)構(gòu) .11.2 直流電機(jī)調(diào)速原理 .11.3 基于 FPGA 的直流電機(jī)調(diào)速方案 .2第二章 直流電機(jī) PWM 調(diào)速控制電路設(shè)計 .42.1 總體設(shè)計 .42.2 系統(tǒng)工作原理 .42.3 鍵盤電路設(shè)計 .52.4 系統(tǒng)時鐘電路設(shè)計 .72.5 H 型橋式驅(qū)動電路設(shè)計 .82.6 電源電路設(shè)計 .10第三章 控制邏輯 VHDL 描述 .113.1 FPGA 內(nèi)部邏輯組成 .113.2 PWM 脈寬調(diào)制信號產(chǎn)生電路描述 .113.3 運(yùn)行控制邏輯電路描述 .15第四章 直流電機(jī) PWM 調(diào)速系統(tǒng)仿真 .174.1 FPGA 開發(fā)環(huán)境的介紹 .174.2 正/反轉(zhuǎn)控制仿真 .184.3 啟/??刂品抡?.194.4 加/減速仿真 .194.5 仿真結(jié)果分析 .20總 結(jié) .22參考文獻(xiàn) .230第一章 方案設(shè)計1.1 直流電機(jī)基本結(jié)構(gòu)直流電機(jī)是通過兩個磁場的互作用產(chǎn)生旋轉(zhuǎn)。固定部分(定子)上,裝設(shè)了一對直流勵磁的靜止的主磁極 N 和 S,在旋轉(zhuǎn)部分(轉(zhuǎn)子)上裝設(shè)電樞鐵心。定子與轉(zhuǎn)子之間有一氣隙。在電樞鐵心上放置了由 A 和 X 兩根導(dǎo)體連成的電樞線圈,線圈的首端和末端分別連到兩個圓弧形的銅片上,此銅片稱為換向片。換向片之間互相絕緣,由換向片構(gòu)成的整體稱為換向器。換向器固定在轉(zhuǎn)軸上,換向片與轉(zhuǎn)軸之間亦互相絕緣。在換向片上放置著一對固定不動的電刷 B1 和B2,當(dāng)電樞旋轉(zhuǎn)時,電樞線圈通過換向片和電刷與外電路接通。定子通過永磁體或受激勵電磁鐵產(chǎn)生一個固定磁場,由于轉(zhuǎn)子由一系列電磁體構(gòu)成,當(dāng)電流通過其中一個繞組時會產(chǎn)生一個磁場。對有刷直流電機(jī)而言,轉(zhuǎn)子上的換向器和定子的電刷在電機(jī)旋轉(zhuǎn)時為每個繞組供給電能。通電轉(zhuǎn)子繞組與定子磁體有相反極性,因而相互吸引,使轉(zhuǎn)子轉(zhuǎn)動至與定子磁場對準(zhǔn)的位置。當(dāng)轉(zhuǎn)子到達(dá)對準(zhǔn)位置時,電刷通過換向器為下一組繞組供電,從而使轉(zhuǎn)子維持旋轉(zhuǎn)運(yùn)動。直流電機(jī)的速度與施加的電壓成正比,輸出轉(zhuǎn)矩則與電流成正比。由于必須在工作期間改變直流電機(jī)的速度,直流電機(jī)的控制是一個較困難的問題。直流電機(jī)高效運(yùn)行的最常見方法是施加一個 PWM(脈寬調(diào)制)方波,其占空比對應(yīng)于所需速度。電機(jī)起到一個低通濾波器作用,將 PWM 信號轉(zhuǎn)換為有效直流電平。特別是對于微處理器驅(qū)動的直流電機(jī),由于 PWM 信號相對容易產(chǎn)生,這種驅(qū)動方式使用的更為廣泛。1.2 直流電機(jī)調(diào)速原理所謂脈沖寬度調(diào)制是指用改變電機(jī)電樞電壓接通與斷開的時間的的占空比來控制電機(jī)轉(zhuǎn)速的方法,稱為脈沖寬度調(diào)制(PWM)。對于直流電機(jī)調(diào)速系統(tǒng),使用 FPGA 進(jìn)行調(diào)速是極為方便的。其方法是通過改變電機(jī)電樞電壓導(dǎo)通時間與通電時間的比值(即占空比)來控制電機(jī)速度。PWM 調(diào)速原理如圖 1.1 所示。在脈沖作用下,當(dāng)電機(jī)通電時,速度增加;電機(jī)斷電時,速度逐漸減少。1只要按一定規(guī)律,改變通、斷電時間,即可讓電機(jī)轉(zhuǎn)速得到控制。設(shè)電機(jī)永遠(yuǎn)接通電源時,其轉(zhuǎn)速最大為 Vmax,設(shè)占空比為 D=t1/T,則電機(jī)的平均速度為 Vd=Vmax·D 式中,Vd電機(jī)的平均速度Vmax電機(jī)全通時的速度(最大)D=t1/T占空比 平均速度 Vd 與占空比 D 的函數(shù)曲線,如圖 1.2 所示。最大值 V m a x平均值 V d最小值 V m i n t 1 t 2T圖 1.1 PWM 調(diào)速原理電壓( V )時間 ( t )通電 斷電t 1t 2T0平均速度V dV m a x00 . 51占空比 ( D )圖 1.2 平均速度和占空比的關(guān)系由圖 1.2 所示可以看出,Vd 與占空比 D 并不是完全線性關(guān)系(圖中實(shí)線) ,當(dāng)系統(tǒng)允許時,可以將其近似地看成線性關(guān)系(圖中虛線) 。因此也就可以看成電機(jī)電樞電壓 Ua 與占空比 D 成正比,改變占空比的大小即可控制電機(jī)的速度。由以上敘述可知:電機(jī)的轉(zhuǎn)速與電機(jī)電樞電壓成比例,而電機(jī)電樞電壓與控制波形的占空比成正比,因此電機(jī)的速度與占空比成比例,占空比越大,電機(jī)轉(zhuǎn)得越快,當(dāng)占空比 1 時,電機(jī)轉(zhuǎn)速最大。21.3 基于 FPGA 的直流電機(jī)調(diào)速方案E N 1U _ DC L K 2數(shù)字比較器+-P W M 波形輸出N E T 1N E T 0N E T 0N E T 1MZFV C CV 1V 2V 3V 4設(shè)定值計數(shù)器鋸齒波發(fā)生器C L K 0旋轉(zhuǎn)方向控制電路Z / FS T A R TF P G A圖 1.3 基于 FPGA 的直流電機(jī)調(diào)速系統(tǒng)如圖 1.3 所示為基于 FPGA 的直流電機(jī)調(diào)速方案的方框圖,用 FPGA 產(chǎn)生PWM 波形,只需要 FPGA 內(nèi)部資源就可以實(shí)現(xiàn),如數(shù)字比較器、鋸齒波發(fā)生器等均為 FPGA 內(nèi)部資源,我們只要直接調(diào)用就可以。外部端口U_D、EN1 、Z/F、START 接在鍵盤電路上,CLK2 和 CLK0 接在外部時鐘電路上,所用到的時鐘頻率為 100MHz 和 50MHz,其具體的連接方式如圖 2.1。其工作原理是:設(shè)定值計數(shù)器的設(shè)置 PWM 的占空比。當(dāng) U/D=1 時,輸入CLK2,使設(shè)定值計數(shù)器的輸出值增加, PWM 的占空比增加,電機(jī)轉(zhuǎn)速加快;當(dāng) U/D =0 時,輸入 CLK2,使設(shè)定值計數(shù)器的輸出值減小,PWM 的占空比減小,電機(jī)轉(zhuǎn)速變慢。在 CLK0 的作用下,鋸齒波計數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計數(shù)值小于設(shè)定值時,數(shù)字比較器輸出高電平;當(dāng)計數(shù)值大于設(shè)定值時 ,數(shù)字比較器輸出低電平,由此產(chǎn)生周期性的 PWM 波形。旋轉(zhuǎn)方向控制電路控制直流電動機(jī)轉(zhuǎn)向和啟/停 ,該電路由兩個 2 選 1 的多路選擇器組成,Z/F 鍵控制選擇 PWM 波形是從正端 Z 進(jìn)入 H 橋,還是從負(fù)端 F 進(jìn)入 H 橋,以控制電機(jī)的旋轉(zhuǎn)方向。當(dāng) Z/F=1 時,PWM 輸出波形從正端 Z 進(jìn)入H 橋,電機(jī)正轉(zhuǎn)。當(dāng) Z/F =0 時,PWM 輸出波形從負(fù)端 F 進(jìn)入 H 橋,電機(jī)反轉(zhuǎn)。Start 鍵通過“ 與” 門控制 PWM 輸出,實(shí)現(xiàn)對電機(jī)的工作停止/控制。當(dāng) START=13時,與門打開,允許電機(jī)工作。當(dāng) START=0 時,與門關(guān)閉,電機(jī)停止轉(zhuǎn)動。H 橋電路由大功率晶體管組成,PWM 輸出波形通過方向控制電路送到 H 橋, 經(jīng)功率放大以后對直流電機(jī)實(shí)現(xiàn)四象限運(yùn)行。并由 EN1 信號控制是否允許變速。4第二章 直流電機(jī) PWM 調(diào)速控制電路設(shè)計2.1 總體設(shè)計如圖 2.1 所示,基于 FPGA 的直流電機(jī) PWM 控制電路主要由四部分組成:控制命令輸入模塊、控制命令處理模塊、控制命令輸出模塊、電源模塊。鍵盤電路、時鐘電路是系統(tǒng)的控制命令輸入模塊,向 FPGA 芯片發(fā)送命令,F(xiàn)PGA芯片是系統(tǒng)控制命令的處理模塊,負(fù)責(zé)接收、處理輸入命令并向控制命令輸出模塊發(fā)出 PWM 信號,是系統(tǒng)的控制核心??刂泼钶敵瞿K由 H 型橋式直流電機(jī)驅(qū)動電路組成,它負(fù)責(zé)接收由 FPGA 芯片發(fā)出的 PWM 信號,從而控制直流電機(jī)的正反轉(zhuǎn)、加速以及在線調(diào)速。電源模塊負(fù)責(zé)給整個電路供電,保證電路能夠正常的運(yùn)行。S B 1S B 4S B 3S B 2+ 5 VR1 0 K開始設(shè)定初值加速 / 減速正 / 反向7 4 l S 0 61111S T A R TE NZFC L K 2C L K 0U _ DZ _ FF P G AD CV i n V o u tG N DV i n V o u tG N Dc 3470FC 40.1FC 50.1FC 610FC 710FC 80.1F7 8 1 2+ 1 2+ 57 8 0 5N E T 0N E T 1MV C CV 1V 2V 3V 4N E T 0N E T 1C P1 DC 1V C C1234H O - 1 2圖 2.1 FPGA 直流電機(jī) PWM 控制電路2.2 系統(tǒng)工作原理在圖 2.1 中所示的 FPGA 是根據(jù)設(shè)計要求設(shè)計好的一個芯片,其內(nèi)部邏輯電路如圖 3.1。START 是電機(jī)的開啟端,U_D 控制電機(jī)加速與減速,EN1 用于設(shè)定電機(jī)5轉(zhuǎn)速的初值,Z_F 是電機(jī)的方向端口,選擇電機(jī)運(yùn)行的方向。CLK2 和 CLK0是外部時鐘端,其主要作用是向 FPGA 控制系統(tǒng)提供時鐘脈沖,控制電機(jī)進(jìn)行運(yùn)轉(zhuǎn)。通過鍵盤設(shè)置 PWM 信號的占空比。當(dāng) U_D=1 時, 表明鍵 U_D 按下,輸入CLK2 使電機(jī)轉(zhuǎn)速加快;當(dāng) U/D =0,表明鍵 U_D 松開,輸入 CLK2 使電機(jī)轉(zhuǎn)速變慢,這樣就可以實(shí)現(xiàn)電機(jī)的加速與減速。Z_F 鍵是電機(jī)運(yùn)轉(zhuǎn)的方向按鍵,當(dāng)把 Z_F 鍵按下時,Z_F=1,電機(jī)正轉(zhuǎn);反之 Z/F =0 時,電機(jī)反轉(zhuǎn)。START 是電機(jī)的開啟鍵,當(dāng) START=1,允許電機(jī)工作;當(dāng) START=0 時,電機(jī)停止轉(zhuǎn)動。H 橋電路由大功率晶體管組成,PWM 輸出波形通過由兩個二選一電路組成的方向控制電路送到 H 橋, 經(jīng)功率放大以后對直流電機(jī)實(shí)現(xiàn)四象限運(yùn)行。并由 EN1 信號控制是否允許變速。2.3 鍵盤電路設(shè)計本設(shè)計系統(tǒng)的命令輸入模塊是鍵盤電路和時鐘電路,通過以按鍵的方式向FPGA 控制系統(tǒng)表達(dá)人的命令來實(shí)現(xiàn)直流電機(jī)的正轉(zhuǎn)、反轉(zhuǎn)、停止和加減速,實(shí)現(xiàn)人機(jī)互換。下面就對鍵盤電路和時鐘電路的類型以及工作原理分別進(jìn)行論述。鍵盤電路有兩種類型,其中一種是獨(dú)立式鍵盤電路。獨(dú)立式鍵盤電路結(jié)構(gòu)簡單、操作方便,在目前這種結(jié)構(gòu)的鍵盤應(yīng)用還非常普遍。只是這種鍵盤電路的每個按鍵都要占用一根 I/O 口線,這樣的話,隨著按鍵的增加將使 I/O 口線不足。因此,這種鍵盤電路只有在按鍵比較少的情況下比較適用。另一種鍵盤電路是矩陣式鍵盤電路,這種鍵盤電路的按鍵設(shè)置在行線和列線的交叉點(diǎn)上,因此在有限的 I/O 口線上可以設(shè)置比較多的按鍵。只是這種鍵盤電路結(jié)構(gòu)、編程都比較復(fù)雜。在鍵盤電路中,往往可以與一個與非門電路構(gòu)成帶中斷的鍵盤電路。這種鍵盤電路上的每個按鍵可以單獨(dú)工作,而且響應(yīng)時間快。這種帶中斷式的鍵盤電路現(xiàn)在應(yīng)用已經(jīng)相當(dāng)?shù)钠毡?。如圖 2.2 所示,所采用的鍵盤電路是獨(dú)立式鍵盤電路。其 4 個功能鍵SB1SB4 連線分別接在 FPGA 控制系統(tǒng)的 4 個端口上,并分別往上各引一條6接線串一個 1K的上拉電阻接在+5V 電源上。當(dāng) 4 個鍵都沒有被按下去時,對應(yīng)的各條列線全部為高電平,在 CMOS 非門的作用下每個端口的電平為低電平。其中一個按鈕按下去時,其對應(yīng)的輸出端口在非門的作用下由低電平變?yōu)楦唠娖剑瑥亩鴨酉鄳?yīng)的功能。S B 1S B 4S B 3S B 2+ 5 VR1 0 K開始設(shè)定初值加速 / 減速正 / 反向7 4 l S 0 61111S T A R TE N 1U _ DZ _ F圖 2.2 鍵盤電路在鍵盤電路設(shè)計中,需要解決按鍵抖動的問題。多數(shù)鍵盤的按鍵均采用機(jī)械彈性開關(guān),一個電信號通過機(jī)械觸點(diǎn)的斷開、閉合過程,完成高低電平的切換。由于機(jī)械觸點(diǎn)的彈性作用,一個按鍵開關(guān)在閉合和斷開的瞬間必然伴隨一連串的抖動。為了排除抖動的影響,在按鍵和輸出端并上一個電阻、一個電容。如圖 2.3 所示。S B 11R1 0 KC2 . 0 7 4 L S 0 6V C C圖 2.3 濾波防抖動電路由圖可知,當(dāng)鍵 SB1 未按下時,電容 C 兩端的電壓均為 1,非門輸出為0。當(dāng)鍵 SB1 按下時,由于 C 兩端電壓不可能產(chǎn)生突變。盡管接觸過程中可能出現(xiàn)抖動,只要適當(dāng)?shù)倪x擇 R 和 C 值,即可保證電容 C 兩端的放電電壓波動不7會超過非門的開啟電壓(TTL 為 0.8V) ,非門的輸出將維持低電平。同理,當(dāng)觸點(diǎn) K 斷開時,由于電容 C 經(jīng)過 R2 充電,C 兩端的充電電壓波動不會超過非門的關(guān)閉電壓,因此,非門的輸出也不會改變,從而達(dá)到防抖動的效果。2.4 系統(tǒng)時鐘電路設(shè)計FPGA 是在系統(tǒng)時鐘脈沖作用下進(jìn)行的,在 FPGA 應(yīng)用系統(tǒng)中,要求采用石英晶振作為時鐘脈沖,如圖 2.4 所示,是采用有源石英晶振構(gòu)成的系統(tǒng)時鐘電路。在該電路中,1 腳懸空,2 腳接地,3 腳接輸出,4 腳接電源。3 腳時鐘脈沖輸出后接在 FPGA 的 CLK0 時鐘端,另一路經(jīng)二分頻電路進(jìn)行分頻后接在CLK2 時鐘端。在 CLK0 和 CLK2 的共同作用下,系統(tǒng)進(jìn)行工作。時鐘輸入是系統(tǒng)電路中必不可少的一部分,它能為 FPGA 提供時鐘脈沖信號,考慮到 EDA 開發(fā)系統(tǒng)時鐘輸入的重要性,一個是 50MHz 的有源晶振作為時鐘信號源輸入,主要用于輸入大的時鐘信號,為波形發(fā)生器提供基準(zhǔn)的時鐘脈沖輸入。C P1 DC 1V C C1234H O - 1 2C L K 2C L K 0圖2.4 時鐘電路圖有源晶振的驅(qū)動能力強(qiáng),晶振頻率比較大,能達(dá)到幾百兆 Hz,采用有源晶振作為時鐘源可以使電路的時鐘擴(kuò)大。HO-12 系列的有源晶振采用TTL/HCMOS 技術(shù),頻率范圍是 1000Hz-1000MHz,這里我們采用的是 100MHz的有源晶振。把 D 觸發(fā)器的輸出 反饋回輸入端與 D 連接就形成一個二分頻電路,如圖_Q2.5 所示,從波形圖可以看出 Q 輸出的波形將是 CP 脈沖周期的兩倍,即頻率是為 CP 脈沖的一半。8QC P1 DC 1C PQ01012.5 D 觸發(fā)器接成二分頻電路2.5 H 型橋式驅(qū)動電路設(shè)計直流電機(jī)驅(qū)動電路使用最廣泛的就是 H 型全橋式驅(qū)動電路,這種驅(qū)動電路可以很方便實(shí)現(xiàn)直流電機(jī)的四象限運(yùn)行,分別對應(yīng)正轉(zhuǎn)、正轉(zhuǎn)制動、反轉(zhuǎn)、反轉(zhuǎn)制動。它的基本原理圖如圖 2.6 所示。N E T 0N E T 1MV C CV 1V 2V 3V 4N E T 0N E T 1圖 2.6 H 型全橋式驅(qū)動電路H 型全橋式驅(qū)動電路的 4 只三極管都工作在斬波狀態(tài), V1、V4 為一組,V2、V3 為另一組,兩組的狀態(tài)互補(bǔ),一組導(dǎo)通則另一組必須關(guān)斷。當(dāng) V1、V4導(dǎo)通時,V2、V3 關(guān)斷,電機(jī)兩端加正向電壓,可以實(shí) 現(xiàn)電機(jī)的正轉(zhuǎn)或反轉(zhuǎn)制動;當(dāng) V2、V3 導(dǎo)通時,V1、V4 關(guān)斷,電機(jī)兩端為反向電壓,電機(jī)反轉(zhuǎn)或正轉(zhuǎn)制動。在直流電機(jī)運(yùn)轉(zhuǎn)的過程中,我們要不斷地使電機(jī)在四個象限之間切換,即在正轉(zhuǎn)和反轉(zhuǎn)之間切換,也就是在 V1、V4 導(dǎo)通且 V2、V3 關(guān)斷,到 V1、V4關(guān)斷且 V2、V3 導(dǎo)通,這兩種狀態(tài)之間轉(zhuǎn)換。在這種情況下,理論上要求兩組控制信號完全互補(bǔ),但是,由于實(shí)際的開關(guān)器件都存在開通和關(guān)斷時間,絕對的互補(bǔ)控制邏輯 必然導(dǎo)致上下橋臂直通短路,比如在上橋臂關(guān)斷的過程中,下橋臂導(dǎo)通了。這個過程可用圖 2.7 說明。9上橋臂導(dǎo)通下橋臂導(dǎo)通上下導(dǎo)通ttt圖 2.7因此,為了避免直通短路且保證各個開關(guān)管動作之間的同步性,兩組控制信號在理論上要求互為倒相的邏輯關(guān)系,而實(shí)際上卻必須相差一個足夠的死區(qū)時間,這個矯正過程既可以通過硬件實(shí)現(xiàn),即在上下橋臂的兩組控制信號之間增加延時。 驅(qū)動電流不僅可以通過主開關(guān)管流通,而且還可以通過續(xù)流二極管流通。當(dāng)電機(jī)處于制動狀態(tài)時,電機(jī)便工作在發(fā)電狀態(tài),轉(zhuǎn)子電流必須通過續(xù)流二極管流通,否則電機(jī)就會發(fā)熱,嚴(yán)重時燒毀。開關(guān)管的選擇對驅(qū)動電路的影響很大,開關(guān)管的選擇宜遵循以下原則:(1)由于驅(qū)動電路是功率輸出,要求開關(guān)管輸出功率較大(2)開關(guān)管的開通和關(guān)斷時間應(yīng)盡可能?。?)直流電機(jī)使用的電源電壓不高,因此開關(guān)管的飽和壓降應(yīng)該盡量低 在實(shí)際制作中,我們可選用大功率達(dá)林頓管 TIP122 或場效應(yīng)管 IRF530,效果都還不錯?,F(xiàn)在為了取材方便,我們選用三極管作為驅(qū)動電路的開關(guān)管。從前面的分析可知,H 型全橋式驅(qū)動電路中,由于開關(guān)管有開通和關(guān)斷時間,因此存在上下橋臂直通短路的問題。直通短路的存在,容易使開關(guān)管發(fā)熱,嚴(yán)重時燒毀開關(guān)管,同時也增加了開關(guān)管的能量損耗。由于現(xiàn)在的許多集成驅(qū)動芯片內(nèi)部已經(jīng)內(nèi)置了死區(qū)保護(hù)(如 LMD18200) ,這里主要介紹的是利用開關(guān)管等分立元件以及沒有死區(qū)保護(hù)的集成芯片制作驅(qū)動電路時增加死區(qū)的方法。死區(qū)時間的問題,只有在正轉(zhuǎn)變?yōu)榉崔D(zhuǎn)或者反轉(zhuǎn)變?yōu)檎D(zhuǎn)的時候才存在,而在正轉(zhuǎn)啟動或反轉(zhuǎn)啟動的時候并沒有,因此不需要修正。如果開關(guān)管的開通10和關(guān)斷時間非常小,或者在硬件電路中增加延時環(huán)節(jié),都可以降低開關(guān)管的損耗和發(fā)熱。當(dāng)然,通過軟件避免直通短路是最好的辦法,它的操作簡單,控制靈活。通過軟件實(shí)現(xiàn)死區(qū)時間,就是在突然換向的時候,插入一個延時的環(huán)節(jié),待開關(guān)管關(guān)斷之后,再開通應(yīng)該開通的開關(guān)管。在開關(guān)管每次換向的時候,不立即進(jìn)行方向的切換,而是先使開關(guān)管關(guān)斷一段時間,使其完全關(guān)斷后再換向打開另外的開關(guān)管。這個關(guān)斷時間由軟件延時實(shí)現(xiàn)。以上主要分析了電機(jī)的全橋式驅(qū)動電路,這是直流電機(jī)調(diào)速使用最多的調(diào)速方法。目前市場上有很多種電機(jī)驅(qū)動的集成電路,效率高,電路簡單,使用也比較廣泛,但是其驅(qū)動方法大多與全橋式驅(qū)動一樣。PWM 控制方法配合橋式驅(qū)動電路,是目前直流電機(jī)調(diào)速最普遍的方法。2.6 電源電路設(shè)計由于電機(jī)在正常工作時對電源的干擾很大,如果只用一組電源時會影響系統(tǒng)的正常工作,所以我們選用雙電源供電。一組 5V 給控制電路供電, 另外一組 12V 給電機(jī)供電。如圖 3.8 所示。電源部分分為兩路,一路直接提供 12 伏的直流電源,主要是提供給電機(jī)使用,另一路通過三端穩(wěn)壓芯片 7805 穩(wěn)壓成 5 伏直流電源提供給鍵盤電路和時鐘電路使用,右邊兩個電容是 5 伏電源的濾波電容,綠色的 LED作為工作指示燈,只要電源部分正常,綠色的 LED 就會點(diǎn)亮,我們可以根據(jù)這個 LED 來判斷整個電源部分是否工作正常。D CV i n V o u tG N DV i nV o u tG N Dc 3470FC 40.1FC 50.1FC 610FC 710FC 80.1F7 8 1 2+ 1 2+ 57 8 0 52.8 電源電路11第三章 控制邏輯 VHDL 描述3.1 FPGA 內(nèi)部邏輯組成圖 3.1 FPGA 直流電機(jī) PWM 控制電路由圖 3.1 可以看出電機(jī)控制邏輯模塊由 PWM 脈寬調(diào)制信號產(chǎn)生電路、方向控制電路組成。其中 PWM 脈寬調(diào)制信號產(chǎn)生電路由可控的加減計數(shù)器CNTA、5 位二進(jìn)制計數(shù)器 CNTB、數(shù)字比較器 LPM_ COMPARE 三部分組成,方向控制電路由兩個二選一電路 21MUX 組成。3.2 PWM 脈寬調(diào)制信號產(chǎn)生電路描述PWM 脈寬調(diào)制信號產(chǎn)生電路由可控的加減計數(shù)器 CNTA、5 位二進(jìn)制計數(shù)器 CNTB、數(shù)字比較器 LPM_COMPARE 三部分組成??煽氐募訙p計數(shù)器做細(xì)分計數(shù)器,確定脈沖寬度。當(dāng) U/D=1 時,輸入 CLK2,使設(shè)定值計數(shù)器的輸出值增加,PWM 的占空比增加,電機(jī)轉(zhuǎn)速加快;當(dāng) U/D =0,輸入 CLK2,使設(shè)定值計數(shù)器的輸出值減小,PWM 的占空比減小,電機(jī)轉(zhuǎn)速變慢。 5 位二進(jìn)制計數(shù)器在CLK0 的作用下,鋸齒波計數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計數(shù)值小于設(shè)定值時,數(shù)字比較器輸出高電平;當(dāng)計數(shù)值大于設(shè)定值時,數(shù)字比較器輸出低電12平,由此產(chǎn)生周期性的 PWM 波形。其內(nèi)部邏輯圖如圖 3.2 所示。圖 3.2 FPGA 中的 PWM 脈寬調(diào)制信號產(chǎn)生電路可控的加減計數(shù)器 CNTA 中的端口 U_D 控制計數(shù)器的方向,EN1 是計數(shù)器的使能端,控制計數(shù)器初值的變化。U_D=1 時,加減計數(shù)器 CNTA 在脈沖CLK2 的作用下,每來一個脈沖,計數(shù)器 CNTA 加 1,U_D=0 時,每來一個脈沖,計數(shù)器 CNTA 減 1。使能端 EN1 設(shè)定計數(shù)器值的初值,當(dāng) EN1 由 1 變?yōu)? 的時候,無論 U_D 如何表化,計數(shù)器的值都不會發(fā)生變化,這樣就完成了計數(shù)器的設(shè)定值,其仿真波形如圖 3.3 所示,其 VHDL 語言如下。LIBRARY IEEE;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNTA ISPORT(CLK:IN STD_LOGIC;U_D:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);END CNTA;ARCHITECTURE behav OF CNTA ISSIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGINPROCESS(CLK)13BEGINIF CLK'EVENT AND CLK='1'THENIF U_D='1' THENIF CQI<=31 THEN CQI<="11111"ELSE CQI<=CQI+1; END IF;ELSIF CQI=0 THEN CQI<="00000"ELSE CQI<=CQI-1;END IF;END IF;END PROCESS;CQ<=CQI;END behav;圖 3.3 細(xì)分計數(shù)器的仿真波形CNTB 是一個簡單的 5 位二進(jìn)制計數(shù)器,它的工作原理和 CNTA 的原理很相似,我們只是在 CNTA 的時鐘端加了一個使能端 EN1 控制其加減的方向。而CNTB 的時鐘端沒有加使能端,所以每來一個脈沖計數(shù)器加 1,因?yàn)?CNTB 是一個 5 位的二進(jìn)值計數(shù)器,所以當(dāng)計數(shù)器的值當(dāng)大于 32 時,計數(shù)器又重新從 0開始記數(shù),從而產(chǎn)生周期性的線性增加的鋸齒波。其仿真波形如圖 3.4,其VHDL 語言如下。ENTITY CNTB ISPORT(CLK: IN BIT;Q:BUFFER INTEGER RANGE 31 DOWNTO 0);END;ARCHITECTURE BHV OF CNTB ISBEGIN PROCESS(CLK)BEGIN14IF CLK'EVENT AND CLK='1' THENQ<=Q+1;END IF;END PROCESS;END BHV;圖 3.4 5 位二進(jìn)制計數(shù)器仿真波形數(shù)字比較器是產(chǎn)生 PWM 波形的核心組成部件,可控的加減計數(shù)器 CNTA和 5 位二進(jìn)制計數(shù)器 CNTB 同時加數(shù)字比較器 LPM-COMPARE 兩端作為兩路輸入信號,當(dāng)計數(shù)器 CNTB 輸出值小于細(xì)分計數(shù)器 CNTA 輸出的規(guī)定值時, 比較器輸出高電平; 當(dāng) CNTB 輸出值大于細(xì)分計數(shù)器 CNTA 輸出的規(guī)定值時, 比較器輸出低電平。改變細(xì)分計數(shù)器的設(shè)定值, 就可以改變 PWM 輸出信號的占空比。為了便于觀察防真波形,在 CNTB 的輸出加上 B4.0,仿真波形如圖3.5。圖 3.5 數(shù)字比較器的仿真波形細(xì)分計數(shù)器 CNTA 是一個雙向計數(shù)器, 可以進(jìn)行加減計數(shù) ,由 U_D 控制其加/減計數(shù)方向, CLK 是計數(shù)時鐘輸入端。為了便于連續(xù)變速控制 , 在計數(shù)器的CLK 端通過“與”門, 加入了 CLK2 外部變速控制附加時鐘 , 并由 EN1 信號控制是否允許變速。在本次設(shè)計中直流電機(jī)轉(zhuǎn)速進(jìn)行了 32 級細(xì)分。其仿真波形如圖 3.6,細(xì)分計數(shù)器的初值設(shè)為 08H,也就是十進(jìn)值的 8,當(dāng)計數(shù)器 CNTB 的值小于 8 時,AGB 輸出高電平,當(dāng)計數(shù)器 CNTB 的值大于 8 時,AGB 的輸出值15為低電平,從而產(chǎn)生 PWM 波形。圖 4.6 A4.0=08H 時電機(jī)加速 PWM 波形通過改變細(xì)分計數(shù)器的值就可以改變 PWM 的占空比,從而改變直流電機(jī)的速度。在圖 3.6 中占空比 D=8/32=0.25,在圖 3.7 中占空比 D=4/32=0.125。通過以上兩組數(shù)據(jù)比較以及分析仿真波形我們可以看出,只要改變使能端電平的高低,便可以改變細(xì)分計數(shù)器的值,也就是改變細(xì)分計數(shù)器 CNTA 的初值,從而可以改變直流電機(jī)的占空比,改變直流電機(jī)的速度。圖 3.7 A4.0=04H 時電機(jī)減速 PWM 波形調(diào)節(jié) PWM 波的占空比是電機(jī)調(diào)速的重要手段,若脈寬計數(shù)器 CNTA 的值逐漸增大,輸出脈沖的開啟時間變大,PWM 占空比逐漸變大,功率器件輸出給電機(jī)電樞的能量增加,電機(jī)加速。若脈寬計數(shù)器定時器 CNTA 的值減小,輸出脈沖的開啟時間變小,PWM 占空比逐漸變小,功率器件輸出給電機(jī)電樞的能量減少,電機(jī)減速。當(dāng)電機(jī)得到加速信號,占空比增大至它可調(diào)范圍的最大值后保持,電機(jī)得到減速信號,占空比減小至它的可調(diào)范圍的最小值后保持。3.3 運(yùn)行控制邏輯電路描述如圖 3.8 所示 FPGA 中的工作/ 停止控制和正/ 反轉(zhuǎn)方向控制電路,其兩個二選一多路選擇器加上兩個與門根據(jù)邏輯原理組合而成。START 鍵通過“與” 門控16制 PWM 輸出,實(shí)現(xiàn)對電機(jī)的工作/停止控制。當(dāng) START 端接高電平時,表示電源接通,電機(jī)開始運(yùn)轉(zhuǎn);當(dāng) START 端接低電平時,電機(jī)停止運(yùn)轉(zhuǎn)。Z/F 鍵控制選擇 PWM 波形是從正端 Z 進(jìn)入 H 橋,還是從負(fù)端 F 進(jìn)入 H 橋,以控制電機(jī)的旋轉(zhuǎn)方向。當(dāng) Z/F=1 時 PWM 輸出波形從正端 Z 進(jìn)入 H 橋,電機(jī)正轉(zhuǎn)。當(dāng) Z/F =0 時 PWM 輸出波形從負(fù)端 F 進(jìn)入 H 橋,電機(jī)反轉(zhuǎn)。仿真如圖 3.9 所示。圖 3.8 FPGA 中的工作/ 停止控制和正/反轉(zhuǎn)方向控制電路圖 3.9 正/反轉(zhuǎn)工作控制電路波形圖 3.10工作/停止電路波形當(dāng) START=1 時,與門打開,允許電機(jī)工作。當(dāng) START=0 時,與門關(guān)閉,電機(jī)停止轉(zhuǎn)動。仿真如圖 3.10 所示。17第四章 直流電機(jī) PWM 調(diào)速系統(tǒng)仿真4.1 FPGA 開發(fā)環(huán)境的介紹MAX+Plus II(MuliPtle Array Martix and Programmxnaable Logie User System)是 ALTERA 公司推出的具有完全集成化、可視化的設(shè)計環(huán)境,具有工業(yè)標(biāo)準(zhǔn)EDA 工具接口,可運(yùn)行于多種操作系統(tǒng)。MAX+Plus II 提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計環(huán)境,設(shè)計人員無須精通器件內(nèi)部結(jié)構(gòu),只需運(yùn)用自己熟悉的輸入工具進(jìn)行設(shè)計,就可以通過 MAX+Plus II 把這些設(shè)計轉(zhuǎn)換為最終結(jié)構(gòu)所需要的格式。MAX+Plus II 提供豐富的邏輯功能供設(shè)計人員調(diào)用,其中包括 74 系列全部器件的等效宏功能庫和多種特殊的宏功能(MacorFunctino)模塊以及參數(shù)化的宏功能(Mageufnctino)模塊。MAX+PlusH 還具有開放核的特點(diǎn),允許設(shè)計人員添加自己的宏功能模塊。充分利用這些邏輯功能模塊,可以大大減輕設(shè)計的工作量,成倍縮短開發(fā)周期。Altera 公司的 MAX+plus II 有以下特點(diǎn):開放的界面MAX+plus II 軟件可與其他工業(yè)標(biāo)準(zhǔn)的設(shè)計輸入、綜合與校驗(yàn)工具相連接,支持與 Candence、Synopsys、Viewlogic 等其它公司所提供的EDA 接口。完全集成化MAX+plus II 的設(shè)計輸入、處理與校驗(yàn)功能全部集成在統(tǒng)一的開發(fā)環(huán)境下,這樣可以加快動態(tài)調(diào)試、縮短開發(fā)周期。豐富的設(shè)計庫MAX+plus II 提供豐富的庫單元供設(shè)計者調(diào)用,其中包括 74 系列的全部器件、大量的數(shù)字器件和新型參數(shù)化的宏函數(shù),大大減輕了設(shè)計人員的工作量。硬件描述語言MAX+plus II 軟件支持各種 HDL 設(shè)計輸入選項(xiàng),包括VHDL、verilog HDL 和 Altera 公司自己的硬件描述語言 AHDL。開放核特性MAX+plus II 軟件具有開放核的特點(diǎn),它允許設(shè)計人員添加自己認(rèn)為有價值的宏函數(shù)。MAX+plus II 軟件的設(shè)計輸入方法有多種,主要包括原理圖輸入方式、文本設(shè)計輸入方式、波形設(shè)計輸入方式等。(1) 原理圖輸入與符號編輯。利用 MAX+plus II 提供的各種原理圖庫進(jìn)行18設(shè)計輸入是一種最為直接的輸入方式。用這種方式輸入時,為提高效率,應(yīng)采用自頂向下邏輯分塊,把大規(guī)模的電路劃分成若干小塊的方法。(2) 硬件描述語言輸入。MAX+plus II 包含一個集成的 Text Editor(文本編輯程序),適合于輸入和編輯用 VHDL 語言編寫的設(shè)計文件。(3) MAX+plus II Waveform Editor(波形編輯程序 )用于建立和編輯波形文件及輸入仿真向量和功能測試向量,適合于時序和重復(fù)的函數(shù)。設(shè)計人員可以根據(jù)自己的實(shí)際情況靈活的選擇 MAX+plus II 軟件的輸入方式。4.2 正/反轉(zhuǎn)控制仿真鍵盤 Z_F 是電機(jī)的方向控制鍵。當(dāng)要求電機(jī)正轉(zhuǎn)時,只需要按下鍵 Z_F,表示 Z_F 輸出高電平,即 Z_F=1,電機(jī)正轉(zhuǎn),如圖 4.1 所示。當(dāng)鍵 Z_F 松開時,Z_F 0 時,電機(jī)反轉(zhuǎn),如圖 4.2、圖 4.3 所示。圖 4.1 電機(jī)正轉(zhuǎn)圖 4.2 電機(jī)反轉(zhuǎn)圖 4.3 電機(jī)正反轉(zhuǎn)194.3 啟/??刂品抡鍿TART 鍵是電機(jī)的啟動鍵,當(dāng)按下 START 鍵時,START=1,電機(jī)進(jìn)入運(yùn)行狀態(tài),如圖 4.4 所示。反之,START=0 時,電機(jī)停止,如圖 4.5、圖 4.6 所示。圖 4.4 啟動仿真波形圖 4.5 停止仿真波形圖 4.6 啟/停仿真波形4.4 加/減速仿真鍵盤 EN1 控制電機(jī)是否允許變速。所以通過改變 EN1 便可以改變設(shè)定值H4.0的值,也就是設(shè)定值的初值,從而改變了直流電機(jī)的占空比,改變直流電機(jī)的速度,達(dá)到調(diào)速的目的。因?yàn)?CNTB 是 5 位的計數(shù)器,所在本設(shè)計中直流電機(jī)轉(zhuǎn)速細(xì)分為 32 級。如圖 4.7 的占空比為 2/32=0.0625,同理通過按鍵 EN1 該變 H4.0的值便得到如圖 4.8、4.9 的 PWM 仿真波形,其占空比依次為 0.125、0.25,也就是占空比增大,電機(jī)的速度增加。根據(jù)以上的數(shù)據(jù)比較與仿真波形的分析可以看出,電機(jī)的速度在逐漸的增加。所以通過改變 EN1 的值可以改變直流電機(jī)的 PWM 占空比,從而改變直流電機(jī)的速度。20圖 4.7 H4.0=02H 仿真波形圖 4.8 H4.0=04H 仿真波形圖 4.9 H4.0=08H 仿真波形4.5 仿真結(jié)果分析通過 5.2 到 5.5 的仿真波形分析可知,本設(shè)計中的各項(xiàng)功能夠很好的實(shí)現(xiàn)。在時鐘脈沖的作用下,計數(shù)器 CNTA 和 CNTB 都能按照事先設(shè)定好的規(guī)則進(jìn)行計數(shù)。CNTA 是可控的加減計數(shù)器,U_D 控制其計數(shù)的方向,EN1 用于設(shè)定其初值,當(dāng) NE1 由高電平變?yōu)榈碗娖綍r,就完成了設(shè)定值。CNTB 是 5 位二進(jìn)制計數(shù)器,其在時鐘脈沖 CLK0 的作用下一直加數(shù),當(dāng)它加到 32 時就自動返回到0 再重新加數(shù)。兩路計數(shù)器同時加到數(shù)字比較器 LMP_COMPARE 上,當(dāng) CNTB的值小于設(shè)定值時,數(shù)字比較器輸出高電平,當(dāng) CNTB 的值大于設(shè)定值時,數(shù)字比較器輸出低電平。因此改變設(shè)定值的大小就可以改變 PWM 波形的大小,也就是完成了電機(jī)的調(diào)速。Z_F 是電機(jī)的方向按鍵,選擇 PWM 波形的進(jìn)入方向,當(dāng)其為 1 時,電機(jī)正轉(zhuǎn),反之,反轉(zhuǎn)。至于電機(jī)的控制,是在它的輸入端加上兩個與門來控制電機(jī)的啟動與停止。其具體的操作如下:當(dāng)按下鍵 Z_F 鍵時,電機(jī)正轉(zhuǎn)(如圖 4.1) ,松開鍵時,電機(jī)反轉(zhuǎn)(如圖4.2) 。當(dāng)按下鍵 START 時,電機(jī)開始工作(如圖 4.4) ,松開時,電機(jī)停止工作(如圖 4.5) 。通過按鍵 EN1 的閉合與斷開可以改變 H4.0的值(如圖4.7、4.8、4.9)從而改變直流電機(jī)的 PWM 占空比,達(dá)到改變直流電機(jī)速度的目的。本設(shè)計采用 VHDL 設(shè)計 FPGA 脈寬調(diào)制控制方案, 計算機(jī)仿真和對直流電21機(jī)控制的結(jié)果表明,該電路能有效地產(chǎn)生 PWM 控制信號控制電機(jī)的轉(zhuǎn)速, 控制精度由 FPGA 中的數(shù)字比較器決定。在本設(shè)計中,采用的數(shù)字比較器為 5 位, 若增加數(shù)字比較器的位數(shù), 就可以提高電機(jī)轉(zhuǎn)速的控制精度。電路中省去了 D/A 轉(zhuǎn)換器使電路變得更加簡潔 , 同時也降低控制器的成本。FPGA 內(nèi)部采用狀態(tài)機(jī)結(jié)構(gòu), 遇到干擾時, 能很快從異常狀態(tài)轉(zhuǎn)入正常工作狀態(tài), 保證了控制系統(tǒng)具有高的可靠性。從以上的仿真中可以看出,基于 FPGA 的直流電機(jī)的控制能夠達(dá)到很好的預(yù)期效果。22總 結(jié)EDA 技術(shù)是電子設(shè)計的發(fā)展趨勢,利用 EDA 工具可以代替設(shè)計者完成電子系統(tǒng)設(shè)計中的大部分工作。EDA 工具從數(shù)字系統(tǒng)設(shè)計的單一領(lǐng)域,發(fā)展到今天,應(yīng)用范圍己涉及模擬、微波等多個領(lǐng)域,可以實(shí)現(xiàn)各個領(lǐng)域電子系統(tǒng)設(shè)計的測試、設(shè)計仿真和布局布線等,這些都是我在這次課設(shè)中深刻體會到的。在這次課程設(shè)計的一周時間里,雖然在過程中遇到很多問題,但通過大家的共同努力很好的解決了這些問題,并最終完成了本次課程設(shè)計。在這次設(shè)計中我們收獲了很多,首先最直接的收獲就是我們鞏固了這門課程所學(xué)過的知識,把它運(yùn)用到了實(shí)踐當(dāng)中,并且學(xué)到了很多在書本撒和那個所沒有學(xué)到的知識,通過查閱相關(guān)資料進(jìn)一步加深了對 EDA 的了??偟膩碚f,通過這次課程設(shè)計不僅鍛煉了我們的動手和動腦能力,也使我懂得了理論與實(shí)際相結(jié)合的重要性,只有理論知識是遠(yuǎn)遠(yuǎn)不夠的,要把所學(xué)的理論知識與實(shí)踐相結(jié)合起來,才能提高自己的實(shí)際動手能力和獨(dú)立思考的能力。23參考文獻(xiàn)1 閻石.數(shù)字電子技術(shù)基礎(chǔ) (第五版)M.高等教育出版社2 謝運(yùn)祥.可編程邏輯器件的發(fā)展及其應(yīng)用前景R.高等教育出版社3 張廣益.郭前剛 .電機(jī)學(xué)M.重慶.重慶大學(xué)出版社.20064 潘松,黃繼業(yè) .DEA 技術(shù)實(shí)用教程M. 北京科學(xué)出版社 .20025 甘歷編著 .VHDL 應(yīng)用與開發(fā)實(shí)踐M. 北京科學(xué)出版社.20036 崔建明編 .電工電子EDA技術(shù)M. 高等教育出版社 .2006

注意事項(xiàng)

本文(直流電機(jī)調(diào)速控制器設(shè)計)為本站會員(QQ加14****9609)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!