九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

畢業(yè)論文調(diào)制解調(diào)器電路設(shè)計(jì).doc

  • 資源ID:22805477       資源大小:1.28MB        全文頁(yè)數(shù):35頁(yè)
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機(jī):
溫馨提示:
用戶(hù)名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢(xún)和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

畢業(yè)論文調(diào)制解調(diào)器電路設(shè)計(jì).doc

摘 要文章開(kāi)篇對(duì)現(xiàn)有的一些調(diào)制、解調(diào)技術(shù)原理進(jìn)行了系統(tǒng)地概括與歸納,例如說(shuō)AM、FM、2ASK、2PSK等一些模擬或數(shù)字信號(hào)的產(chǎn)生與解調(diào)。在此基礎(chǔ)上創(chuàng)造性的提出了基于CPLD的16QAM調(diào)制解調(diào)器的方案,同時(shí)簡(jiǎn)要闡述了各個(gè)模塊的組成及其原理。至此,利用MATLAB對(duì)16QAM的性能進(jìn)行了仿真,繪制了星座圖、信號(hào)軌跡圖、眼圖以及誤碼率曲線(xiàn),并對(duì)它們進(jìn)行了簡(jiǎn)要的分析,16QAM可以合理的安排各個(gè)矢量端點(diǎn),使它們間的最小距離最大,從而使系統(tǒng)達(dá)到最佳的誤碼率。所以說(shuō),QAM調(diào)制解調(diào)技術(shù)能夠?qū)崿F(xiàn)在提高信息傳輸速率的同時(shí)降低誤譯碼率,從而改善通信質(zhì)量。關(guān)鍵詞:調(diào)制解調(diào);載波恢復(fù);QAMiABSTRACTAt first,article introduces the existing modulation demodulation principle, such as AM、FM、2ASK、2PSK signal generation and demodulation principle. we proposed the 16 qam modem based on CPLD, and expounds the composition and principle of each module. At this basis, Using MATLAB simulation to the performance of 16 QAM map of the constellation diagram, signal path, eye diagram and bit error rate curve, and carried on the brief analysis.16 qam can reasonable arrangement each endpoint vector, the minimum distance between them is the largest, which make the system achieve the best bit error rate.QAM modulation demodulation technology can be achieved in improving information transmission rate and reduce the decoding error rate.Keywords: modulator/demodulator;carrier recovery;QAMii湖南科技大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)目 錄第一章 緒論11.1 引言11.2 論文研究的主要內(nèi)容1第二章 調(diào)制解調(diào)技術(shù)原理32.1 模擬調(diào)制技術(shù)32.2 數(shù)字調(diào)制技術(shù)42.3 正交幅度調(diào)制(QAM)72.3.1 QAM調(diào)制原理72.3.2 QAM解調(diào)原理8第三章 16QAM調(diào)制器系統(tǒng)組成與原理113.1 調(diào)制方案設(shè)計(jì)113.1.1 基于CPLD的16QAM調(diào)制器前端實(shí)現(xiàn)113.1.2 16QAM調(diào)制器的后端實(shí)現(xiàn)143.2 本章小結(jié)16第四章 16QAM解調(diào)器系統(tǒng)組成與原理174.1 解調(diào)方案設(shè)計(jì)174.1.1 16QAM解調(diào)器的前端實(shí)現(xiàn)174.1.2 基于CPLD的16QAM解調(diào)器后端實(shí)現(xiàn)194.2 本章小結(jié)20第五章 基于MATLAB的QAM系統(tǒng)仿真實(shí)現(xiàn)215.1 模型的建立215.2 仿真結(jié)果及分析22第六章 結(jié)論27參考文獻(xiàn)29致 謝31iii第一章 緒論1.1 引言現(xiàn)代通信領(lǐng)域,隨著對(duì)傳輸信息量的需求不斷增大,頻譜資源有限、頻譜利用率不高的問(wèn)題顯得更加突出。我們想要在信息傳輸量上進(jìn)一步改善,使其提高,同時(shí)也想要達(dá)到可靠的通信,實(shí)現(xiàn)可靠的信息傳輸。MQAM技術(shù)綜合了ASK、PSK的優(yōu)點(diǎn),可以在提高信息傳輸速率的同時(shí)降低譯誤碼率,且具有高效的頻譜利用率。1.2 論文研究的主要內(nèi)容本篇論文主要圍繞16QAM調(diào)制解調(diào)技術(shù)而展開(kāi),通過(guò)對(duì)現(xiàn)有調(diào)制解調(diào)技術(shù)的整理與分析,展開(kāi)對(duì)16QAM調(diào)制解調(diào)器的具體設(shè)計(jì)。提出了一種基于CPLD的16QAM調(diào)制解調(diào)方式,對(duì)其進(jìn)行了簡(jiǎn)要分析,并系統(tǒng)地研究了16QAM調(diào)制解調(diào)器各個(gè)模塊的組成及其原理。文章的結(jié)構(gòu)與框架如表1.1。表1.1 論文主要內(nèi)容章 節(jié)主要內(nèi)容第l章 論文的意義與文章的主要知識(shí)內(nèi)容第2章 調(diào)制解調(diào)技術(shù)的基礎(chǔ)理論知識(shí)的慨括,如AM、2ASK等,以及在此基礎(chǔ)上的16QAM調(diào)制解調(diào)技術(shù)第3章 介紹了16QAM調(diào)制器的具體設(shè)計(jì)方案,分析了該系統(tǒng)各個(gè)模塊的組成及其原理第4章 闡述了16QAM解調(diào)器的具體設(shè)計(jì)方案,同時(shí)對(duì)該系統(tǒng)的各個(gè)模塊的組成和原理第5章 進(jìn)行了基于MATLAB的16QAM性能仿真第6章 給出了本文的結(jié)論- 31 -第二章 調(diào)制解調(diào)技術(shù)原理2.1 模擬調(diào)制技術(shù)調(diào)制簡(jiǎn)單說(shuō)來(lái),是對(duì)頻率的變換,經(jīng)過(guò)調(diào)制之后低頻信號(hào)的頻率變?yōu)楦哳l,使得信號(hào)可以在通信信道中順利傳輸。多數(shù)的通信信道為頻帶信道,經(jīng)過(guò)調(diào)制可以使基帶信號(hào)適合于在頻帶信道上傳輸,同時(shí)它也攜帶有用信息,這就是已調(diào)信號(hào)的兩個(gè)特點(diǎn)。通過(guò)利用正弦信號(hào)作為調(diào)制載波信號(hào),考慮信號(hào)的各個(gè)參數(shù)來(lái)攜帶原始信號(hào)的有用信息,可以將模擬調(diào)制技術(shù)劃分為幅度調(diào)制與角度調(diào)制。(l)幅度調(diào)制幅度調(diào)制(AM)指的是利用正弦波的振幅信息,將調(diào)制信號(hào)所攜有的信息蘊(yùn)含在正弦波的幅度上,不同的信息會(huì)對(duì)應(yīng)不同的幅值。經(jīng)過(guò)AM調(diào)制之后,輸出的信號(hào)使多個(gè)正弦信號(hào)的疊加,其中信號(hào)的頻率全部與原始信號(hào)相同,而信號(hào)的頻譜進(jìn)行了搬移,所以它是線(xiàn)性調(diào)制技術(shù)1。幅度調(diào)制包括:常規(guī)雙邊帶調(diào)制(AM)、抑制載波雙邊帶調(diào)制(DSB)、單邊帶幅度調(diào)制(SSB)、殘留邊帶幅度調(diào)制(VSB)。圖2.1所描述的就是幅度調(diào)制的一般模型圖。圖2.1 幅度調(diào)制器的一般模型圖上圖中,m(t)表示的是調(diào)制信號(hào),濾波器的沖激響應(yīng)是h(t),而Sm(t)指代的是已調(diào)信號(hào),已調(diào)信號(hào)Sm(t)在時(shí)域的一般表達(dá)式分別為式(2.1),在頻域的表達(dá)式為(2.2) (2.1) (2.2)以上兩式之中,表示為調(diào)制信號(hào)的頻譜,和是一對(duì)傅里葉變換對(duì),表示的是載波角頻率。DSB、SSB調(diào)制信號(hào)的產(chǎn)生模型圖分別是圖2.2的左右(a)、(b)兩圖。圖2.2 DSB、SSB調(diào)制器模型圖在各種幅度調(diào)制中,AM的實(shí)現(xiàn)比較容易,所需要的實(shí)現(xiàn)設(shè)備相對(duì)而言比較簡(jiǎn)單,然后,AM在抗干擾性能和頻譜利用方面則是略顯不足。相比AM,DSB的實(shí)現(xiàn)設(shè)備就比較復(fù)雜,因?yàn)镈SB的解調(diào)采用相干解調(diào)法,需要與載波同頻的本地載波,由于實(shí)現(xiàn)比較困難,所以實(shí)際運(yùn)用比較少。SSB只是DSB的上邊帶或者下邊帶,它的帶寬只有DSB的一半,所以其頻譜利用率與功率利用率都比較高,同時(shí)它抗干擾、抗衰落能力強(qiáng),但實(shí)現(xiàn)設(shè)備比較復(fù)雜。VSB與SSB性能很多方面相同,它具有與SSB相當(dāng)?shù)墓β世寐屎涂垢蓴_性能。幅度調(diào)制的解調(diào)一般采用包絡(luò)檢波法解調(diào),AM波形與調(diào)制信號(hào)波形完全相同,容易在包絡(luò)檢波解調(diào)之后輸出原始信號(hào)的波形。其他所有抑制載波幅度調(diào)制一般是采用相干解調(diào)方式解調(diào)出原有信號(hào),不是采用包絡(luò)檢波解調(diào)方式,因?yàn)樾盘?hào)的包絡(luò)不能夠完全反映調(diào)制信號(hào)的變化。在圖2.3中,我們可以看到包絡(luò)檢波器的模型圖。圖2.3 包絡(luò)檢波器的一般模型(2)角度調(diào)制對(duì)于每一個(gè)不同的正弦載波信號(hào),它們都具有不同的參數(shù),如振幅不同、頻率不同或者相位不同。角度調(diào)制就是使載波信號(hào)的頻率或者相位來(lái)攜帶有調(diào)制信號(hào)的有用信息,調(diào)制信號(hào)攜帶的信息不同,載波的頻率或相位也會(huì)不同。調(diào)頻、調(diào)相的聯(lián)系十分密切,它們兩者通過(guò)一些電路可相互變換。角度調(diào)制它與幅度調(diào)制不一樣,它屬于非線(xiàn)性調(diào)制,因?yàn)樵诮嵌日{(diào)制過(guò)程中不僅包含有頻譜的移動(dòng),而且有些信號(hào)的頻率會(huì)不同于原有信號(hào),產(chǎn)生許多新的頻譜部分。同時(shí),角度調(diào)制的抗噪聲性能好。2.2 數(shù)字調(diào)制技術(shù)數(shù)字調(diào)制是使用數(shù)字信號(hào)來(lái)作為調(diào)制信號(hào),對(duì)載波信號(hào)進(jìn)行調(diào)制,數(shù)字信號(hào)所攜帶的有用信息包含在載波的某個(gè)參數(shù)(幅度、頻率、相位)之上。受數(shù)字調(diào)制后它的參數(shù)不能連續(xù)取值,是能是離散數(shù)值。數(shù)字調(diào)制具有與模擬調(diào)制幾乎完全相同的原理,只是模擬信號(hào)是取連續(xù)值,而數(shù)字信號(hào)則是取離散數(shù)值?,F(xiàn)有的數(shù)字調(diào)制方式有如下幾種。(l)二進(jìn)制振幅鍵控(2ASK/OOK)ASK信號(hào)形式為: (2.3)是第n個(gè)符號(hào)的電平取值,可以為0或者1。實(shí)現(xiàn)框圖如圖2.4所示:圖2.4 2ASK調(diào)制已調(diào)信號(hào)功率譜密度為: (2.4)與AM信號(hào)的解調(diào)方法相同,2ASK信號(hào)也有兩種常見(jiàn)的解調(diào)方式,一種是包絡(luò)檢波法(非相關(guān)解調(diào)),另外一種是同步檢測(cè)法(相干解調(diào))。兩者不同的是,解調(diào)系統(tǒng)中需要加入抽樣判決器,這對(duì)于改善接收信號(hào)的性能是十分重要的。2ASK解調(diào)方框圖如圖2.5。圖2.5 2ASK解調(diào)(2)二進(jìn)制移頻鍵控(2FSK)2FSK信號(hào)的產(chǎn)生可分為兩種:一種是采用模擬調(diào)頻電路來(lái)進(jìn)行2FSK調(diào)制,一種是采用鍵控法。兩種方法產(chǎn)生的2FSK信號(hào)的區(qū)別在是否具有連續(xù)變化的相位,前者產(chǎn)生的信號(hào)具有連續(xù)的相位,而后者產(chǎn)生的2FSK信號(hào)的相位不連續(xù)。將兩個(gè)頻率不相同的2ASK調(diào)制信號(hào)相互疊加再混合輸出,可是視為一個(gè)2FSK調(diào)制信號(hào),因而2FSK調(diào)制信號(hào)的解調(diào)方法和2ASK信號(hào)相同,也是相干解調(diào)與包絡(luò)檢波。2FSK信號(hào)的解調(diào)方法還有許多種,除此之外,還具有過(guò)零檢測(cè)法、鑒頻法及差分檢測(cè)法幾種重要的解調(diào)方法。包絡(luò)檢測(cè)法所使用的原理是使信號(hào)通過(guò)兩個(gè)不同的窄帶濾波器電路之后,電路過(guò)濾出不同的兩個(gè)信號(hào),兩個(gè)信號(hào)的頻率各不相同,再對(duì)兩個(gè)分信號(hào)進(jìn)行抽樣判決,利用我們所制定的判決規(guī)則解調(diào)出原始信號(hào)2。差分檢測(cè)法是對(duì)不同的頻率進(jìn)行鑒定,具體說(shuō)來(lái)就是通過(guò)對(duì)比調(diào)制信號(hào)及其延遲信號(hào),發(fā)現(xiàn)它們間不一樣的頻率,篩選出不同地頻率信息2。而鑒頻法則是把振幅信息一模一樣的頻率調(diào)制波變換成為振幅信息各不相同的調(diào)幅調(diào)頻波,它經(jīng)過(guò)低通濾波器等振幅檢波器之后,提取出不同的頻率變化的信息2。(3)二進(jìn)制移相鍵控(2PSK)調(diào)制載波具有兩種不同相位信息,2PSK將這兩種不同的相位信息設(shè)定成為0、1兩個(gè)不同的邏輯值,稱(chēng)作為絕對(duì)相移方式3。信號(hào)的表達(dá)式可以表示為: (2.5)其中表示的是第n個(gè)波形符號(hào)的電平取值,可取值為+1或者-1。2PSK的實(shí)現(xiàn)框圖如圖2.6所示:圖2.6 2PSK調(diào)制由式(2.3)和式(2.5)可以看出2PSK和2ASK表達(dá)形式一樣,區(qū)別在于an的取值不同,因而可以用式(2.4)來(lái)表示2PSK信號(hào)的功率譜。2PSK調(diào)制信號(hào)與2ASK數(shù)字調(diào)制信號(hào)具有十分相似的頻譜特性,已調(diào)信號(hào)的頻帶寬度值同樣也等于原有調(diào)制信號(hào)的兩倍。2PSK調(diào)制信號(hào)一般使用相干解調(diào)法對(duì)調(diào)制信號(hào)進(jìn)行解調(diào),圖2.7描述的是2PSK相干解調(diào)器的原理方框圖。圖2.7 2PSK相干解調(diào)當(dāng)原始信號(hào)取值為邏輯1時(shí),2PSK信號(hào)經(jīng)過(guò)乘法器之后,輸出信號(hào)表達(dá)式為:. (2.6)它的取值范圍為0,l。當(dāng)原始信號(hào)值為邏輯0時(shí),2PSK信號(hào)經(jīng)由乘法器,與本地同頻同相載波進(jìn)行乘法運(yùn)算,輸出表達(dá)式為: (2.7)它的取值范圍則為。在經(jīng)過(guò)低通濾波器之后,低頻分量可以順利通過(guò),高頻分量被濾去,剩下的只是基帶碼元信息,在抽樣判決之后輸出基帶信號(hào)。如圖2.8是對(duì)4ASK、8PSK調(diào)制信號(hào)的空間矢量圖所進(jìn)行的準(zhǔn)確描述。由圖觀察可知:4ASK與8PSK的信號(hào)空間都沒(méi)有被合理有效利用,可知僅僅使用幅度或者相位來(lái)攜帶原始信號(hào)的一些有用信息,都將無(wú)法使信號(hào)端點(diǎn)合理分配各個(gè)空間,不能夠充分利用信號(hào)空間。圖2.8 4ASK和8PSK空間矢量圖上面所學(xué)習(xí)與分析的是幾種和MQAM調(diào)制有關(guān)的調(diào)制方式。在這個(gè)基礎(chǔ)上我們將重點(diǎn)分析16QAM調(diào)制解調(diào)原理、它的具體電路設(shè)計(jì)方案以及對(duì)系統(tǒng)性能所做的MATLAB仿真。2.3 正交幅度調(diào)制(QAM)2.3.1 QAM調(diào)制原理受到圖2.8的啟發(fā),QAM調(diào)制信號(hào)充分利用信號(hào)空間,將調(diào)制波的相位和振幅作為兩個(gè)單獨(dú)的參量同時(shí)受到調(diào)制,讓相位、輻度都攜帶有有用信息4。一般而言,人們常常將正交幅度調(diào)制信號(hào)寫(xiě)成為: (2.8)式中是同相信號(hào)或I信號(hào);是正交信號(hào)或Q信號(hào);分別是載波的離散振幅;m是的電平數(shù),取值1,2,.,M。代表著信號(hào)的幅度取值,也攜帶有其位置信息,它與星座圖當(dāng)中的空間矢量坐標(biāo)點(diǎn)一一對(duì)應(yīng)。產(chǎn)生16QAM信號(hào)有兩種方法:一種比較常用的方法稱(chēng)為正交調(diào)幅法,而另外一種常用方式稱(chēng)為復(fù)合相移法。前者是將具有不同參數(shù)的獨(dú)立的正交4ASK調(diào)制信號(hào)矢量混合疊加產(chǎn)生,獲得16QAM信號(hào),同樣后者則是將具有不同參數(shù)的獨(dú)立的兩個(gè)QPSK信號(hào)矢量混頻疊加,形成16QAM信號(hào)。分別如圖2.9的(a)與(b)。圖2.9 16QAM信號(hào)的調(diào)制方式圖2.10描述的是正交幅度調(diào)制的原理方框圖。圖2.10 正交調(diào)幅法原理圖在信號(hào)輸入端,信號(hào)首先進(jìn)行串并轉(zhuǎn)換器,將信號(hào)分為和兩個(gè)部分。、都分別進(jìn)行2-4值電平轉(zhuǎn)換,經(jīng)過(guò)4值調(diào)幅器后再分別和相位相差的相干載波相乘,形成I(同相)、Q(正交)調(diào)制信號(hào),隨之將I、Q兩路信號(hào)輸入混合頻率加法電路,經(jīng)過(guò)相加運(yùn)算之后,從混頻電路中調(diào)制出16QAM調(diào)制信號(hào)。正交調(diào)幅法優(yōu)點(diǎn)明顯,它結(jié)構(gòu)簡(jiǎn)單,易于實(shí)現(xiàn)而且只是需要少量調(diào)制器。圖2.11所描述的是由復(fù)合相移法產(chǎn)生的調(diào)制的16QAM信號(hào)的矢量圖。圖2.11 復(fù)合相移法調(diào)制的16QAM信號(hào)的矢量圖復(fù)合相移法也稱(chēng)作四相疊加法,4個(gè)信號(hào)得到相位受到同一個(gè)載波信號(hào)源的驅(qū)動(dòng),其中大、小QPSK幅度為2:1。在圖2.11中,所攜帶的信息它們依次映射同相、正交兩路信號(hào)的4個(gè)不同振幅邏輯電平。經(jīng)過(guò)自然碼-格雷碼的邏輯變換電路之后,多進(jìn)制正交調(diào)制信號(hào)就擁有了格雷碼的電平邏輯值。圖2.12所描述的是經(jīng)格雷編碼電路采用復(fù)合相移法產(chǎn)生16QAM的原理圖。圖2.12 復(fù)合相移法原理方框圖2.3.2 QAM解調(diào)原理如同其他很多調(diào)制信號(hào)的解調(diào),QAM信號(hào)也大都是使用相干解調(diào)法對(duì)信號(hào)進(jìn)行相干解調(diào)從而恢復(fù)出原有信號(hào)。其原理圖如圖2.13所示。圖2.13 QAM相干解調(diào)法在信號(hào)的接收端,信號(hào)經(jīng)過(guò)乘法器分別與正交、同相兩相差的正交載波經(jīng)行乘法運(yùn)算。同相分量的表達(dá)式為: (2.9)正交分量信號(hào)的表達(dá)式為: (2.10)經(jīng)過(guò)相干解調(diào)之后,可以解調(diào)輸出兩路模擬數(shù)字信號(hào),隨后讓該信號(hào)經(jīng)由低通濾波器濾除高頻信號(hào)濾出直流分量與,最后在經(jīng)過(guò)電平抽樣判決、4-2值電平轉(zhuǎn)換、模數(shù)轉(zhuǎn)換器、解碼映射以及并/串轉(zhuǎn)換之后,可以輸出解調(diào)的數(shù)字基帶信號(hào)6。當(dāng)L=4時(shí),為16QAM相干解調(diào)。第三章 16QAM調(diào)制器系統(tǒng)組成與原理3.1 調(diào)制方案設(shè)計(jì)本章的16QAM調(diào)制采用的調(diào)制方式是正交調(diào)幅法,該調(diào)制器的前端實(shí)現(xiàn)是基于CPLD這一核心器件的。圖3.1所示為其系統(tǒng)結(jié)構(gòu)示意圖。圖3.1 16QAM調(diào)制系統(tǒng)由圖3.1可知16QAM調(diào)制器主要由兩部分構(gòu)成,如圖3.2所示,(a)為由CPLD實(shí)現(xiàn)調(diào)制器的前端變換和編碼,它由時(shí)鐘模塊、m序列模塊、串/并轉(zhuǎn)換模塊與碼映射邏輯(CPLD與D/A轉(zhuǎn)換器接口)模塊等部分組成;(b)部分是調(diào)制器的后端實(shí)現(xiàn),它由D/A轉(zhuǎn)換器與I、Q正交調(diào)制器構(gòu)成。圖3.2 16QAM調(diào)制器組成3.1.1 基于CPLD的16QAM調(diào)制器前端實(shí)現(xiàn)本部分使用Altera公司MAX7000S系列的EPM7128SLC84-15來(lái)實(shí)現(xiàn),其頂層設(shè)計(jì)模塊如圖3.2 (a)所示。各模塊功能如表3.1。表3.1 16QAM調(diào)制器前端實(shí)現(xiàn)部分各模塊的功能模 塊作 用時(shí)鐘模塊 發(fā)揮分頻作用,為其它模塊分配各模塊的時(shí)鐘信號(hào)m序列模塊 產(chǎn)生任意碼長(zhǎng)m序列,作為系統(tǒng)的基帶輸入信號(hào)串/并轉(zhuǎn)換模塊 完成由1路串行基帶數(shù)字信號(hào)到4路并行基帶數(shù)字信號(hào)的串/并轉(zhuǎn)換碼映射邏輯模塊 完成和數(shù)模轉(zhuǎn)換器模塊的接口分配與連接(l) 時(shí)鐘模塊時(shí)鐘出現(xiàn)在電路中的各個(gè)環(huán)節(jié)各個(gè)模塊,是電路中不可或缺的一部分。該部分的時(shí)鐘信號(hào)是經(jīng)過(guò)20MHz有源晶振獲得的,經(jīng)過(guò)時(shí)鐘模塊后對(duì)信號(hào)的頻率進(jìn)行劃分。時(shí)鐘模塊按照m序列模塊、串/并轉(zhuǎn)換模塊與碼映射模塊各模塊對(duì)于輸入時(shí)鐘的需要進(jìn)行對(duì)其頻率進(jìn)行劃分與分配,并為各個(gè)部分提供時(shí)鐘信號(hào)。(2) m序列模塊二元m序列屬于偽隨機(jī)序列,它擁有良好的自相關(guān)函數(shù),取得或是拷貝一段二進(jìn)制m序列都不是難事,因而使用m序列作為整個(gè)調(diào)制解調(diào)器的初始輸入。此部分的m序列的特征多項(xiàng)式是: (3.1)時(shí)鐘信號(hào)在時(shí)鐘模塊進(jìn)行分頻之后產(chǎn)生16分頻時(shí)鐘信號(hào),這個(gè)16分頻時(shí)鐘信號(hào)便可作為該部分的時(shí)鐘。通過(guò)產(chǎn)生碼速率為,碼長(zhǎng)為的m序列,讓它作為輸入信號(hào)輸入到系統(tǒng)當(dāng)中。如果想要獲得碼長(zhǎng)為的m序列,僅需知道n階線(xiàn)性反饋易存器的特征多項(xiàng)式再更改m序列模塊即可。其生成框圖如圖3.3所示:圖3.3 m序列生成框圖(3) 串/并轉(zhuǎn)換模塊在本部分,由m序列生成模塊產(chǎn)生的1路數(shù)字基帶信號(hào)被轉(zhuǎn)換成為4路并行的數(shù)字信號(hào)輸出系統(tǒng),按這樣分配之后,每路信號(hào)的速率都是原有信號(hào)速率的,即。如圖3.4所示,我們所規(guī)定的4路并行信號(hào)具有不同的空間位置,合理的分配在整個(gè)矢量信號(hào)空間,它們分別與矩形16QAM星座圖的信號(hào)點(diǎn)依次對(duì)應(yīng)。圖3.4 16QAM星座圖(4) 碼映射邏輯模塊在該模塊,我們所定義的并行信號(hào)被分為另外兩路并行信號(hào):與。、兩路不同的數(shù)字信號(hào)再分別同I、Q兩路8位D/A轉(zhuǎn)換器相互配對(duì)彼此相連。通過(guò)兩路信號(hào)或同兩個(gè)數(shù)模轉(zhuǎn)換器的輸出電壓之間的相互映射關(guān)系,完成信號(hào)同D/A轉(zhuǎn)換器輸入端接口間準(zhǔn)確連接,同時(shí)可以推導(dǎo)出或同的聯(lián)系。是D/A轉(zhuǎn)換器的數(shù)字輸入量。表3.2所展示我們的是碼映射模塊的對(duì)應(yīng)關(guān)系。表3.2 (a1,a3)或(a2,a4)與E0的對(duì)應(yīng)關(guān)系a3a1d1d2d3d4d5d6d7d8E00 0 1 0 0 0 0 0 0 0 +0.0200 1 1 0 0 1 0 0 0 0 +0.6401 0 1 0 1 0 0 0 0 0 +1.2701 1 1 0 1 1 0 1 0 0 +2.050118由表3.2的映射關(guān)系可以知道CPLD輸出接口和I、Q兩路D/A轉(zhuǎn)換器數(shù)字輸入端數(shù)字的映射關(guān)系分別為式(3.2)以及式(3.3): (3.2) (3.3)到這里,基于CPLD的16QAM調(diào)制器的前端實(shí)現(xiàn)部分就實(shí)現(xiàn)了將輸入的調(diào)制信號(hào)輸出為I、Q兩路8位信號(hào),8位信號(hào)的在輸出端口的數(shù)值依次與8位D/A轉(zhuǎn)換器輸出端點(diǎn)的取值呈現(xiàn)映射關(guān)系。如果在實(shí)際操作之中需要與其他位數(shù)的D/A轉(zhuǎn)換器接口匹配,通過(guò)改變?cè)谶@個(gè)模塊的VerilogHDL編程程序,修改信號(hào)與D/A轉(zhuǎn)換器值的相應(yīng)關(guān)系就能簡(jiǎn)單的實(shí)現(xiàn)。EPM7128有多個(gè)可編程的輸入輸出引腳,可輕易地完成對(duì)數(shù)模、模數(shù)轉(zhuǎn)換電路接口匹配邏輯控制7。EPM7128引腳功能如表3.3表3.3 EPM7128引腳功能說(shuō)明端 口名 稱(chēng)功 能當(dāng)reset為O時(shí),CPLD內(nèi)部清零初始化;INPUT/GLCRn 全局reset信號(hào) 當(dāng)Reset取邏輯值1時(shí),CPLD正常運(yùn)行,進(jìn)行仿真。INPUT/GCLKl 時(shí)鐘輸入引腳 它是時(shí)鐘模塊的輸入信號(hào)的輸入端口,時(shí)鐘模塊根據(jù)不一樣的需要將其分頻。TDO、TDI 芯片與電腦通信的 將完成過(guò)電路的仿真試驗(yàn)的Verilog或其它硬TMS、TCK JTAG端口 件描述語(yǔ)言設(shè)計(jì)的程序使其在電腦下載至CPLD芯片中。 共16支端口和數(shù)模轉(zhuǎn)換器匹配,設(shè)定其中一I/O 輸入/輸出端口 個(gè)I/O端口與D/A的進(jìn)行匹配,以支配數(shù)模轉(zhuǎn)換器的電壓閾值。 3.1.2 16QAM調(diào)制器的后端實(shí)現(xiàn)(1) D/A轉(zhuǎn)換模塊該部分選擇National Semiconductor公司DIP-16封裝的D/A轉(zhuǎn)換器DAC0800。DAC0800可與任一邏輯電平兼容。當(dāng)它同不同的邏輯電平相兼容時(shí),D/A的的連接方法我們?nèi)菀椎弥?,圖3.5描述的就是。圖3.5 管腳接法示意圖DAC0800外圍電路并不難以知道,圖3.6描述的就是其電路圖。圖3.6 DAC0800外圍電路示意圖使用單極性+5V電源電壓時(shí),兩路信號(hào)經(jīng)過(guò)LM741C這個(gè)放大器之后,兩路電源信號(hào)轉(zhuǎn)化為電壓信號(hào),電壓邏輯取值為,表達(dá)式當(dāng)中X是轉(zhuǎn)換器輸取值。如圖3.2 (b)所示。I、Q兩路信號(hào)經(jīng)過(guò)D/A轉(zhuǎn)換器后速率為:。經(jīng)過(guò)2-4值變換器件之后,將同相、正交兩信號(hào)傳入到I、Q各自調(diào)制器之中,它們調(diào)制之后,信號(hào)頻率位于高、低頻之間。(2) I、Q正交調(diào)制I、Q正交調(diào)制指將兩彼此分離的I、Q信號(hào)與彼此相位相差為的兩個(gè)本地載波進(jìn)行乘法上運(yùn)算,再經(jīng)過(guò)相加運(yùn)算之后得到調(diào)制信號(hào)4。本文中使用MIRCODEVICES公司的RF2713集成芯片。RF2713集成芯片的結(jié)構(gòu)原理圖我們不難得知,圖3.7描述的是其原理圖。圖3.7 RF2713結(jié)構(gòu)原理圖RF2713為單片集成正交調(diào)制/解調(diào)芯片4。當(dāng)它作為調(diào)制器放入電路時(shí),可以將0到50MHz的I、Q兩基帶原始信號(hào)進(jìn)行加法運(yùn)算進(jìn)而輸出;當(dāng)它發(fā)揮解調(diào)器作用之時(shí),將攜有有用信息的射頻載波這種頻率不大也不小的信號(hào)當(dāng)中獲得I、Q兩路基帶信號(hào)8。RF2713作為調(diào)制器使用時(shí)的電路圖配置容易理解,如圖3.8所示。圖3.8 RF2713調(diào)制器配置BASEBAAND I與BASEBAND Q依次是I、Q兩基帶信號(hào)的送入端;LO是載波的輸入;IF OUT輸出經(jīng)過(guò)正交調(diào)制的QAM調(diào)制信號(hào)。3.2 本章小結(jié)本章通過(guò)設(shè)計(jì)和分許,實(shí)現(xiàn)了16QAM調(diào)制器的邏輯功能,包括利用CPLD的前端變換與后端實(shí)現(xiàn)的邏輯編碼,配合DAC0800,RF2713集成芯片等一些額外器件以及VerilogHDL語(yǔ)言編程,討論了具體完整的設(shè)計(jì)過(guò)程。該種方案的延伸性與適用性好,同時(shí)它也為具有自適應(yīng)功能的調(diào)制解調(diào)系統(tǒng)以及可變速率系統(tǒng)的具體設(shè)定奠定了基礎(chǔ)。第四章 16QAM解調(diào)器系統(tǒng)組成與原理4.1 解調(diào)方案設(shè)計(jì)本系統(tǒng)為基于CPLD的16QAM解調(diào)器設(shè)計(jì)。16QAM的解調(diào)與產(chǎn)生一樣簡(jiǎn)單,16QAM相干解調(diào)器結(jié)構(gòu)圖為圖4.1。圖4.l 16QAM解調(diào)器組成解調(diào)器的前端實(shí)現(xiàn)由I、Q正交解調(diào)器與兩個(gè)模數(shù)轉(zhuǎn)換器來(lái)構(gòu)成,如圖4.1 (a),經(jīng)過(guò)解調(diào)器的前端實(shí)現(xiàn)部分,頻率不算太高也不算太低的16QAM信號(hào)經(jīng)過(guò)解碼與并/串變換之后被設(shè)定成為I、Q兩路基帶信號(hào);解調(diào)器的后端信號(hào)的轉(zhuǎn)換由CPLD編程來(lái)完成,如圖4.1 (b)。4.1.1 16QAM解調(diào)器的前端實(shí)現(xiàn)16QAM中頻調(diào)制信號(hào)經(jīng)由I、Q正交解調(diào)器以及載波恢復(fù)過(guò)程之后,輸出兩路模擬信號(hào),經(jīng)過(guò)整形變換、濾波處理與模數(shù)轉(zhuǎn)換后輸出數(shù)字信號(hào),最后經(jīng)過(guò)解碼關(guān)系、變換等環(huán)節(jié)還原輸出最初的基帶信息。(1) I、Q正交解調(diào)RF2713作為解調(diào)器時(shí)候的配置如圖4.2。中頻調(diào)制信號(hào)輸入至解調(diào)器后經(jīng)還原恢復(fù)電路,在一系列變換域處理之后還原出載波,我們將載波恢復(fù)電路輸出的載波作為本地載波LO的輸入,并從I OUT與Q OUT兩個(gè)引腳輸出經(jīng)過(guò)正交解調(diào)電路之后的兩路模擬基帶信號(hào)8。圖4.2 RF2713解調(diào)器配置16QAM相干解調(diào)系統(tǒng)中,我們可以看到載波恢復(fù)電路關(guān)系到解調(diào)信號(hào)的性能,所以說(shuō)方案設(shè)計(jì)的關(guān)鍵是準(zhǔn)確地載波還原。該部分使用載波還原的方法來(lái)實(shí)現(xiàn)正交解調(diào)以保證準(zhǔn)確的解調(diào)過(guò)程,四次方環(huán)載波恢復(fù)的原理可以從很多書(shū)籍中得知,圖4.3所示即是。圖4.3 四次方環(huán)載波還原法四次方環(huán)載波恢復(fù)是以平方環(huán)載波恢復(fù)為基礎(chǔ),創(chuàng)新性的提出的一個(gè)載波恢復(fù)的方法8。調(diào)制信號(hào)的表達(dá)式為: (4.1)式(4.1)中,與為彼此獨(dú)立的兩路信號(hào)。式(4.2)為16QAM調(diào)制信號(hào)Y(t)經(jīng)載波信號(hào)還原電路之后的輸出表達(dá)式。當(dāng)信號(hào)經(jīng)過(guò)一個(gè)窄帶濾波器時(shí),且濾波器的中心頻率為,式(4.2)中第一項(xiàng)高頻信號(hào)可以完全通過(guò)窄帶濾波器,第二項(xiàng)低頻信號(hào)和第三項(xiàng)直流信號(hào)被濾除,無(wú)法通過(guò)濾波器。其輸出的信號(hào)均值如式(4.3)。 (4.2) (4.3)式(4.3)中,。(2) A/D轉(zhuǎn)換模塊CPLD與模數(shù)轉(zhuǎn)換器件的匹配十分地簡(jiǎn)單,它的連接原理圖如同圖4.4。圖4.4 CPLD與AD轉(zhuǎn)換器原理圖ADC08100是一個(gè)8位數(shù)值數(shù)模變換器器件,它的抽樣信息速率高于20Mbps而又小于100Mbps,屬于中頻9。該器件包含:+2.7+3.6V電壓,1.3mW/MHz功耗以及當(dāng)50MHz輸入時(shí)諧波失真為54dB。數(shù)字輸入、輸出引腳兼容TTL/CMOS。A/D的PD/CLK腳分別匹配EPM7128的兩個(gè)輸入輸出端口,使其提供時(shí)鐘并進(jìn)行邏輯控制。4.1.2 基于CPLD的16QAM解調(diào)器后端實(shí)現(xiàn)16QAM解調(diào)器的后端設(shè)計(jì)部分一共包含三個(gè)分塊,一個(gè)時(shí)鐘模塊,一個(gè)解碼模塊,還有一個(gè)并/串變化模塊。各部分的功能如表4.1。表4.1 16QAM解調(diào)器后端實(shí)現(xiàn)各部分的功能模 塊功 能時(shí)鐘模塊 發(fā)揮分配頻率作用,使得各個(gè)分頻信號(hào)作時(shí)鐘解碼映射邏輯模塊 完成和電路另外兩8元A/D轉(zhuǎn)換器的匹配并/串轉(zhuǎn)換模塊 將并行的調(diào)制信號(hào)變成串行的整路原有信號(hào)(1) 時(shí)鐘模塊CPLD中的時(shí)鐘模塊發(fā)揮著劃分頻率,分配各個(gè)不同頻率的時(shí)鐘這樣一個(gè)作用。時(shí)鐘模塊在按需分頻之后的信號(hào)成為另外兩個(gè)模塊電路各個(gè)環(huán)節(jié)的時(shí)鐘信號(hào)。不同模塊需要的時(shí)鐘信號(hào)也不盡相同,解碼映射邏輯模塊的時(shí)鐘信號(hào)的頻率僅僅只是并/串轉(zhuǎn)換模塊時(shí)鐘信號(hào)頻率的。在實(shí)際操作當(dāng)中,為了滿(mǎn)足各個(gè)部分對(duì)于時(shí)鐘信號(hào)不同時(shí)鐘參數(shù)的需要,可以在運(yùn)用Verilog語(yǔ)言編程時(shí),適當(dāng)?shù)膶?duì)時(shí)鐘頻率進(jìn)行合理調(diào)整。(2) 解碼映射邏輯模塊在16QAM解調(diào)系統(tǒng)中,第一步是確定或者代表的的4個(gè)電平值和A/D轉(zhuǎn)換器輸出的數(shù)字信息之間的邏輯函數(shù)關(guān)系。由于我們?cè)?6QAM調(diào)制系統(tǒng)中已經(jīng)規(guī)定了或者和4個(gè)邏輯電平值相應(yīng)的關(guān)系,根據(jù)這個(gè)關(guān)系,找出A/D轉(zhuǎn)換器和CPLD間的接口關(guān)系。A/D轉(zhuǎn)換器輸出的兩路數(shù)字信號(hào)會(huì)傳送到解碼映射邏輯模塊,我們可以通過(guò)反向的推導(dǎo)尋找出2-4電平轉(zhuǎn)換編碼間的反向關(guān)系,從而可以將其轉(zhuǎn)化為并著輸出的四路數(shù)字信號(hào)。在該還原系統(tǒng)中,4-2電平轉(zhuǎn)化作用及其轉(zhuǎn)化作用由數(shù)模變化器與解碼映射邏輯模塊各環(huán)節(jié)的連接與正常工作一同實(shí)現(xiàn)。(3) 并/串轉(zhuǎn)換模塊信號(hào)在經(jīng)解碼映射邏輯模塊之后會(huì)輸出的4路并行數(shù)字信號(hào),而之后它又將輸入16QAM解調(diào)系統(tǒng)中的并/串轉(zhuǎn)換模塊,在這個(gè)模塊中,信號(hào)被轉(zhuǎn)為1路串行數(shù)字信號(hào)后輸出,實(shí)現(xiàn)16QAM解調(diào)。輸出的串行數(shù)學(xué)信號(hào)的速率就等于原始信號(hào)的傳輸速率,它是4路并行信號(hào)中每一路信號(hào)速率的4倍。4.2 本章小結(jié)本章設(shè)計(jì)完成了16QAM解調(diào)系統(tǒng)。通過(guò)CPLD,數(shù)模轉(zhuǎn)換器,低通濾波器及I、Q正交解調(diào)器等一些外圍電路以及VerilogHDL語(yǔ)言編程,討論了具體完整的設(shè)計(jì)過(guò)程。首先在16QAM解調(diào)器前端采用RF2713集成重要器件等重要電路器件,其次在利用了CPLD器件電路當(dāng)中,解調(diào)器后端的數(shù)字信號(hào)的解碼、變換則利用VerilogHDL硬件語(yǔ)言編程實(shí)現(xiàn)。從而輸出解調(diào)信號(hào),實(shí)現(xiàn)16QAM解調(diào)。第五章 基于MATLAB的QAM系統(tǒng)仿真實(shí)現(xiàn)本章將利用Matlab這一所學(xué)軟件的Simulink仿真模塊對(duì)16QAM調(diào)制解調(diào)進(jìn)行系統(tǒng)仿真,以獲得16QAM調(diào)制信號(hào)軌跡圖、16QAM調(diào)制信號(hào)星座圖、16QAM調(diào)制信號(hào)的眼圖以及16QAM的誤碼率曲線(xiàn)圖。5.1 模型的建立在下圖5.1中,我們可以清晰的看到16QAM系統(tǒng)性能的仿真模型圖。圖5.1 16QAM性能的仿真模型圖將模塊搭建起來(lái)后,按下列表格設(shè)置每個(gè)模塊的參數(shù)。表5.1 隨機(jī)整數(shù)信號(hào)產(chǎn)生器的參數(shù)設(shè)置表參數(shù)名稱(chēng)參數(shù)值M-ary number(進(jìn)制數(shù)) 16Initial seed(初始種子) 任意整數(shù)Sample time(抽樣時(shí)間) 1/碼元速率Frame based out-put(輸出幀格式) 設(shè)置為原始值Interpret Vector parameters 1-D 設(shè)定為原始值(獲得一維向量)Sample per frame(每幀的抽樣數(shù)) 碼元速率表5.2 正交幅度調(diào)制/解調(diào)(General QAM)的參數(shù)設(shè)置參數(shù)名稱(chēng)參數(shù)值Signal constellation 根據(jù)16QAM星座圖,對(duì)于每個(gè)信號(hào)依(信號(hào)星座圖) 次一個(gè)個(gè)輸入信號(hào)相應(yīng)地矢量坐標(biāo)Sample per symbol 設(shè)置為2(每符號(hào)抽樣數(shù))表5.3 加性高斯白噪聲信道(AWGN)的參數(shù)設(shè)置參數(shù)名稱(chēng)參數(shù)值Initial seed(初始種子) 任意整數(shù)Mode(模式) 設(shè)定其運(yùn)行在信噪比模式SNR(信號(hào)噪聲的平均功率比) 設(shè)定為一個(gè)數(shù)值或變量名Input signal power(watt)(輸入信號(hào)功率) 設(shè)置為1表5.4 錯(cuò)誤統(tǒng)計(jì)器(Error Rate Calculation)的參數(shù)設(shè)置參數(shù)名稱(chēng)參數(shù)值Receive delay(接收端時(shí)延) 設(shè)置為0Computation delay(計(jì)算時(shí)延) 設(shè)置為0Computation Mode(計(jì)算模式) 設(shè)定為計(jì)算幀模式Output data(輸出數(shù)據(jù)) 設(shè)為Work對(duì)表5.1中的碼元速率取10000波特/每秒,對(duì)于表5.2中的Signal constellation設(shè)置為:-1.5+1.5*i -0.5+1.5*i 0.5+1.5*i 1.5+1.5*i -1.5+05*i -0.5+0.5*i 0.5+0.5*i 1.5+0.5*i -1.5-0.5*i -0.5-0.5*i 0.5-0.5*i 1.5-0.5*i -1.5-1.5*i -0.5-1.5*i 0.5-1.5*i 1.5-1.5*i。按照表5.1到表5.4,對(duì)所有的參數(shù)進(jìn)行設(shè)定之后,就可以對(duì)16QAM調(diào)制性能進(jìn)行仿真,從而輕松地獲得16QAM調(diào)制信號(hào)軌跡圖、16QAM調(diào)制信號(hào)星座圖、16QAM調(diào)制信號(hào)的眼圖以及16QAM的誤碼率曲線(xiàn)圖。5.2 仿真結(jié)果及分析圖5.2描述的是經(jīng)過(guò)MATLAB軟件相應(yīng)模塊仿真之后所獲得的16QAM調(diào)制信號(hào)軌跡圖。圖5.2 16QAM調(diào)制信號(hào)軌跡圖在圖5.3中,我們所看到的是16QAM調(diào)制信號(hào)的星座圖。圖5.3 16QAM調(diào)制信號(hào)星座圖根據(jù)圖5.2可以看到同相、正交兩路信號(hào)的軌跡。由軌跡圖5.2和星座圖5.3可以觀察到,圖中有16個(gè)離散信號(hào)點(diǎn)(即圖5-3中米子形點(diǎn)),它們分別對(duì)應(yīng)于16進(jìn)制的數(shù)值取值,而且每個(gè)點(diǎn)都是中心對(duì)稱(chēng)、排布整齊。每一個(gè)米子形點(diǎn)都代表著一個(gè)碼元的相應(yīng)位置,而每一個(gè)信號(hào)點(diǎn)都是同相和正交兩個(gè)相互正交的矢量疊加而成。在星座圖當(dāng)中,任意兩個(gè)信號(hào)矢量點(diǎn)間的最短距離如果達(dá)到越大,那么信號(hào)最佳的誤碼率作用也就越佳。當(dāng)端點(diǎn)間的最小距離比較大時(shí),信號(hào)的平均功率也就較小,此時(shí),只需要用較小的信號(hào)平均功率就可以實(shí)現(xiàn)比較優(yōu)良的抗噪聲的性能。調(diào)制信道經(jīng)過(guò)信道之后,其仿真得到的眼圖如圖5.4所示。圖5.4 16QAM信號(hào)眼圖由眼圖5.4可以看見(jiàn)信號(hào)線(xiàn)跡細(xì)而且輪廓清晰的大“眼睛”,示波器的掃描跡線(xiàn)幾乎完全重疊,可以知道信道不存在碼間干擾,表明信道系統(tǒng)性能十分優(yōu)良,信號(hào)通過(guò)信道后失真小,效果好。經(jīng)過(guò)圖5.1的系統(tǒng)圖之后,對(duì)比原始信號(hào)與解調(diào)后信號(hào)的特性,可以的到圖5.5的QAM誤碼率曲線(xiàn)圖。圖5.5 QAM的誤碼率曲線(xiàn)圖根據(jù)圖5.5,我們可以觀察到16QAM理論誤碼率曲線(xiàn)與16QAM仿真誤碼率有一些偏差,并沒(méi)有完全的重合。在信噪比值是10dB時(shí),它們兩者之間的誤差最小,效果同樣也是最佳的。當(dāng)信號(hào)噪聲平均功率比高過(guò)10dB時(shí),仿真得到的誤碼率略大于其理論取值。當(dāng)號(hào)噪聲平均功率比低過(guò)10dB時(shí),仿真獲得的誤碼率略小于理論計(jì)算值。第六章 結(jié)論調(diào)制解調(diào)技術(shù)作為通信領(lǐng)域的一項(xiàng)重要技術(shù),不僅為通信其他技術(shù)奠定了基礎(chǔ),而且與人們的生活息息相關(guān),為人們提供了極大的便利。該篇論文所完成的事項(xiàng)可以總結(jié)為如下三點(diǎn):第一,文章開(kāi)篇對(duì)現(xiàn)有的一些調(diào)制解調(diào)技術(shù)原理進(jìn)行了簡(jiǎn)要概括,并通過(guò)對(duì)它們的分析,系統(tǒng)具體地研究了16QAM調(diào)制解調(diào)器的各部分組成及其原理。第二,論文給具體實(shí)現(xiàn)QAM調(diào)制與解調(diào)闡述了一個(gè)非常創(chuàng)新的說(shuō)法:基于CPLD的調(diào)制解調(diào)器的系統(tǒng)設(shè)計(jì)。同時(shí),對(duì)其進(jìn)行了具體的設(shè)計(jì),在外部電路中,利用CPLD、RF2713集成芯片以及一些外圍器件,比如A/D,D/A轉(zhuǎn)換器等,對(duì)電路進(jìn)行了具體系統(tǒng)的分析。第三,使用MATLAB軟件,對(duì)16QAM調(diào)制解調(diào)系統(tǒng)進(jìn)行了仿真實(shí)驗(yàn),繪制出了16QAM調(diào)制信號(hào)的軌跡圖、16QAM星座圖以及16QAM調(diào)制信號(hào)的眼圖等圖。這種基于CPLD的16QAM調(diào)制解調(diào)方案設(shè)計(jì)對(duì)于不同進(jìn)制的QAM調(diào)制解調(diào)系統(tǒng)都可以適用,擴(kuò)展性十分的好。同時(shí),它還有一個(gè)優(yōu)點(diǎn),那就是與以前由分立元件所構(gòu)成的電路相比較,它可以在一定程度上節(jié)省印制板的面積。然而,這個(gè)方案也不是十全十美的,它需要進(jìn)一步地細(xì)化設(shè)計(jì)方案、優(yōu)化實(shí)際電路結(jié)構(gòu)及其步局。參考文獻(xiàn)1 談?wù)褫x數(shù)字移動(dòng)通信系統(tǒng)中的調(diào)制技術(shù)現(xiàn)代通信技術(shù),1994,(3):21232 朱旭明,易清明MQAM調(diào)制技術(shù)及其在移動(dòng)通信中的應(yīng)用移動(dòng)通信,2001、(1):30343 馬時(shí)平,畢篤彥,陳振華DVBC QAM調(diào)制器的實(shí)現(xiàn)中國(guó)有線(xiàn)電視,2002,(1):32334 陳偉群,甘智峰正交幅度調(diào)制(QAM)信號(hào)的解調(diào)技術(shù)與實(shí)現(xiàn)電視技術(shù),)2001,(3):11145 宋燕欣電纜數(shù)據(jù)傳輸方法一cable Modem技術(shù)電視技術(shù),2001,(8):52556 周中武,曾文海多功能QPSKQAM調(diào)制轉(zhuǎn)換器的設(shè)計(jì)與實(shí)現(xiàn)電子工程師,2004,30(2):42447 李勇,王鋒,李宋林XDSL及其調(diào)制技術(shù)分析中國(guó)有線(xiàn)電視,2002,(5):40448 馮揚(yáng),王福昌DVBC中QAM調(diào)制器的數(shù)字實(shí)現(xiàn)艦船電子工程,2002,(4):35379 謝曉川,王鋼,孫云峰ADSL調(diào)制技術(shù)黑龍江通信技術(shù),2001,(4):192010 宋萬(wàn)杰,羅豐,吳順君CPLD技術(shù)及其應(yīng)用西安電子科技大學(xué)出版社,2001:11211 李道華PLD技術(shù)電信科學(xué),1994,10(6):565812 樊昌信,詹道庸,徐炳祥,吳成柯通信原理第四版國(guó)防工業(yè)出版社,1995:17317513 王玉寶16QAM調(diào)制與解調(diào)的實(shí)現(xiàn)北京理工大學(xué)碩士論文2003,3:91214 馬牧燕,冷俊敏,左秀峰.基于MATLAB的正交調(diào)制系統(tǒng)仿真J.北京機(jī)械工業(yè)學(xué)院學(xué)報(bào),2005.12,第4期(第20卷):21-24.15 賀超英.MATLAB應(yīng)用與實(shí)驗(yàn)教程M.北京:電子工業(yè)出版社,2010.1:1-2.16 邵玉斌.Matlab/Simulink通信系統(tǒng)建模與仿真實(shí)例分析M.北京:清華大學(xué)出版社,2008.6(2014.2重?。?289-305.17 劉學(xué)勇.詳解MATLAB/Simulink通信系統(tǒng)建模與仿真M.北京:電子工業(yè)出版社,2011.11:192-214.18 王立寧,MATLAB與通信仿真M北京:人民郵電出版社,200019 趙民建,袁夢(mèng)濤,李世巨等。全數(shù)字多星座圖、可變符號(hào)率QAM調(diào)制器J.電路與系統(tǒng)學(xué)報(bào),2001,6致 謝對(duì)于這篇論文的完成,我的心情十分地復(fù)雜,在最初的選題、資料收集以及對(duì)文章的反復(fù)改動(dòng)的過(guò)程中,我?guī)缀鯕v經(jīng)了欣喜、躁動(dòng)、無(wú)奈與失望?,F(xiàn)在,我將要完成該篇論文的最終定稿,我的這些復(fù)雜心情也逐漸地消散,甚至于會(huì)有一些成就感,因?yàn)楦冻龅呐K將會(huì)有所結(jié)果。這里,我想要感謝一直給予我支持、鼓勵(lì)與指導(dǎo)XX老師。作為該篇論文的指導(dǎo)老師,他不僅在我最無(wú)奈與孤獨(dú)的時(shí)候給我加油加氣,而且在最初我對(duì)文章一頭霧水的情況下始終耐心、細(xì)心、友善的對(duì)我進(jìn)行了全程的指導(dǎo),使我不灰心不氣餒,順利的完成這篇論文的編寫(xiě)。我還要感謝我的班主任XX老師以及在大學(xué)四年為我們授課的所有老師,正是他們的認(rèn)真授課和細(xì)致講解,使我學(xué)到了非常多的專(zhuān)業(yè)知識(shí),是他們讓我看到了在這個(gè)領(lǐng)域的精彩,也是他們讓我學(xué)會(huì)了如何更好的做人做事。最后我想感謝大學(xué)四年里一直陪伴著我的同學(xué)、朋友們,因?yàn)樗麄兊某霈F(xiàn)我的人生變得更加豐富,也是因?yàn)樗麄兾以谂^斗的道路上從不孤獨(dú),非常謝謝他們。謝謝!

注意事項(xiàng)

本文(畢業(yè)論文調(diào)制解調(diào)器電路設(shè)計(jì).doc)為本站會(huì)員(小**)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話(huà):18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!