九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字電路教案

  • 資源ID:25603547       資源大?。?span id="24d9guoke414" class="font-tahoma">1.71MB        全文頁數(shù):270頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)字電路教案

課 時(shí) 授 課 計(jì) 劃 - 1 課號(hào):1課題:第1章 緒論1.1 概述1.2 數(shù)制和碼制目的與要求:了解本門課程的基本內(nèi)容;了解數(shù)字電路的特點(diǎn)及應(yīng)用、分類及學(xué)習(xí)方法;掌握二、八、十、十六進(jìn)制的表示方法及相互轉(zhuǎn)換;知道8421BCD碼、余三碼、格雷碼的意義及表示方法。重點(diǎn)與難點(diǎn):重點(diǎn):數(shù)制與碼制的表示方法;難點(diǎn):二、八、十六進(jìn)制的轉(zhuǎn)換。教具:課堂討論:離散信號(hào);二、十、八、十六進(jìn)制的特點(diǎn)及表示方法;碼的作用;8421BCD碼的特點(diǎn)及應(yīng)用?,F(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程PowerPoint復(fù)習(xí)(提問):什么是模擬信號(hào)模擬電路;什么是二進(jìn)制代碼。授課班次:課時(shí)分配:課堂教學(xué)環(huán)節(jié)課堂組織課堂討論復(fù)習(xí)(提問)新課講解鞏固新課布置作業(yè)時(shí)間分配(分)52595551提綱第1章 緒 論1.1 概述1 . 1 . 1 數(shù)字信號(hào)和數(shù)字電路1、 數(shù)字信號(hào)與模似信號(hào)2、 模擬電路與數(shù)字電路1 . 1 . 2 數(shù)字電路的分類1、 按電路類型分類2、 按集成度分類3、 按半導(dǎo)體的導(dǎo)電類型分類1 . 1 . 3 數(shù)字電路的優(yōu)點(diǎn)1、 易集成化2、 抗干擾能力強(qiáng),可靠性高3、 便于長期存貯4、 通用性強(qiáng),成本低,系列多5、 保密性好1 .1 .4 脈沖波形的主要參數(shù)1脈沖幅度Um2脈沖上升時(shí)間3脈沖下降時(shí)間4脈沖寬度5脈沖周期6脈沖頻率7占空比q 1.2 數(shù)制和碼制1 . 2 . 1 數(shù) 制一、十進(jìn)制二、二進(jìn)制三、八進(jìn)制和十六進(jìn)制1 .2 .2 不同數(shù)制間的轉(zhuǎn)換一、各種數(shù)制轉(zhuǎn)換成十進(jìn)制二、十進(jìn)制轉(zhuǎn)換為二進(jìn)制三、二進(jìn)制與八進(jìn)制、十六進(jìn)制間相互轉(zhuǎn)換1 .2 .3 二進(jìn)制代碼一、二-十進(jìn)制代碼8421碼、5421碼和余3碼二、可靠性代碼1格雷碼2奇偶校驗(yàn)碼作業(yè):P42 1.2.3.4第1章 緒 論1.1 概述1 . 1 . 1 數(shù)字信號(hào)和數(shù)字電路電信號(hào) 隨時(shí)間變化的電流或電壓。1、數(shù)字信號(hào)與模似信號(hào)模擬信號(hào) 幅度隨時(shí)間連續(xù)變化數(shù)字信號(hào) 斷續(xù)變化(離散變化),時(shí)間上離散幅值上整量化,多采用0、1二種數(shù)值組成又稱二進(jìn)制信號(hào)。舉例P1圖1.1.1。與同學(xué)討論離散信號(hào)。2、模擬電路與數(shù)字電路模擬電路 傳輸或處理模擬信號(hào)的電路,如:電壓、功率放大等;數(shù)字電路 處理、傳輸、存儲(chǔ)、控制、加工、算運(yùn)算、邏輯運(yùn)算、數(shù)字信號(hào)的電路。如測(cè)電機(jī)轉(zhuǎn)速:電機(jī)-光電轉(zhuǎn)換-整形-門控-計(jì)數(shù)器-譯碼器-顯示時(shí)基電路 1 . 1 . 2 數(shù)字電路的分類微電子技術(shù)的迅猛發(fā)展導(dǎo)致了數(shù)字電路的飛速發(fā)展。1、 按電路類型分類 (1)組合邏輯電路 輸出只與當(dāng)時(shí)的輸入有關(guān),如:編碼器、加減法器、比較器、數(shù)據(jù)選擇器。(2)時(shí)序邏輯電路 輸出不僅與當(dāng)時(shí)的輸入有關(guān),還與電路原來的狀態(tài)有關(guān)。如:觸發(fā)器、計(jì)數(shù)器、寄存器2、 按集成度分類SSI MSILISVLSI 表1.1.1 數(shù)字集成電路分類3、 按半導(dǎo)體的導(dǎo)電類型分類 (1) 雙極型電路 (2) 單極型電路1 . 1 . 3 數(shù)字電路的優(yōu)點(diǎn)1、 易集成化。 兩個(gè)狀態(tài)“0”和“1”,對(duì)元件精度要求低。2、 抗干擾能力強(qiáng),可靠性高。 信號(hào)易辨別不易受噪聲干擾。3、便于長期存貯。 軟盤、硬盤、光盤。4、通用性強(qiáng),成本低,系列多。(國際標(biāo)準(zhǔn))TTL系例數(shù)字電路、門陣列、可編程邏輯器件。5、保密性好。 容易進(jìn)行加密處理。1 . 1 . 4 脈沖波形的主要參數(shù)在數(shù)字電路中,加工和處理的都是脈沖波形,而應(yīng)用最多的是矩形脈沖。圖1 . 1 . 2 脈沖波形的參數(shù)1脈沖幅度 。 脈沖電壓波形變化的最大值,單位為伏(V)。2脈沖上升時(shí)間。 脈沖波形從0.1Um上升到0.9Um所需的時(shí)間。3脈沖下降時(shí)間 。脈沖波形從0.9Um下降到0.1Um所需的時(shí)間。脈沖上升時(shí)間tr 和下降時(shí)間tf 越短,越接近于理想的短形脈沖。單位為秒(s)、毫秒(ms)、微秒( us)、納秒(ns)。4脈沖寬度 。 脈沖上升沿0.5Um 到下降沿0.5Um 所需的時(shí)間,單位和 tr、tf 相同。5脈沖周期T。 在周期性脈沖中,相鄰兩個(gè)脈沖波形重復(fù)出現(xiàn)所需的時(shí)間,單位和tr 、tf 相同。6脈沖頻率f:每秒時(shí)間內(nèi),脈沖出現(xiàn)的次數(shù)。 單位為赫茲(Hz)、千赫茲(kHz)、兆赫茲(MHz),f 1T。7占空比q:脈沖寬度 與脈沖重復(fù)周期T的比值。q T。它是描述脈沖波形疏密的參數(shù)。1.2 數(shù)制和碼制1 . 2 . 1數(shù) 制一、十進(jìn)制1、表示法與同學(xué)討論二、八、十六進(jìn)制的表示方法及特點(diǎn)二、二進(jìn)制三、八進(jìn)制和十六進(jìn)制1八進(jìn)制逢八進(jìn)一;系數(shù)07 ;基數(shù)8; 權(quán)8 n。2十六進(jìn)制逢十六進(jìn)一;系數(shù):09、A、B、C、D、E、F;基數(shù)16;權(quán)16n。表1.2.1 十進(jìn)制、二進(jìn)制、八進(jìn)制、十六進(jìn)制對(duì)照表1 . 2 . 2 不同數(shù)制間的轉(zhuǎn)換一、各種數(shù)制轉(zhuǎn)換成十進(jìn)制二進(jìn)制、八進(jìn)制、十六進(jìn)制轉(zhuǎn)換成十進(jìn)制時(shí),只要將它們按權(quán)展開,求出各加權(quán)系數(shù)的和,便得到相應(yīng)進(jìn)制數(shù)對(duì)應(yīng)的十進(jìn)制數(shù)。例:二、十進(jìn)制轉(zhuǎn)換為二進(jìn)制將十進(jìn)制數(shù)的整數(shù)部分轉(zhuǎn)換為二進(jìn)制數(shù)采用“除2取余法”;將十進(jìn)制小數(shù)部分轉(zhuǎn)換為二進(jìn)制數(shù)采用“乘2取整法”。例1.1.1將十進(jìn)制數(shù)(107.625)10轉(zhuǎn)換成二進(jìn)制數(shù)。將十進(jìn)制數(shù)的整數(shù)部分轉(zhuǎn)換為二進(jìn)制數(shù)采用“除2取余法”,它是將整數(shù)部分逐次被2除,依次記下余數(shù),直到商為0。第一個(gè)余數(shù)為二進(jìn)制數(shù)的最低位,最后一個(gè)余數(shù)為最高位。解: 整數(shù)部分轉(zhuǎn)換所以,小數(shù)部分轉(zhuǎn)換將十進(jìn)制小數(shù)部分轉(zhuǎn)換為二進(jìn)制數(shù)采用“乘2取整法”,它是將小數(shù)部分連續(xù)乘以2,取乘數(shù)的整數(shù)部分作為二進(jìn)制數(shù)的小數(shù)。由此可得十進(jìn)制數(shù)(107.625)10對(duì)應(yīng)的二進(jìn)制數(shù)為(107.625)10(1101011.101)2三、二進(jìn)制與八進(jìn)制、十六進(jìn)制間相互轉(zhuǎn)換1二進(jìn)制和八進(jìn)制間的相互轉(zhuǎn)換(1) 二進(jìn)制數(shù)轉(zhuǎn)換成八進(jìn)制數(shù)。二進(jìn)制數(shù)轉(zhuǎn)換為八進(jìn)制數(shù)的方法是:整數(shù)部分從低位開始,每三位二進(jìn)制數(shù)為一組,最后不足三位的,則在高位加0補(bǔ)足三位為止;小數(shù)點(diǎn)后的二進(jìn)制數(shù)則從高位開始,每三位二進(jìn)制數(shù)為一組,最后不足三位的,則在低位加0補(bǔ)足三位,然后用對(duì)應(yīng)的八進(jìn)制數(shù)來代替,再按順序排列寫出對(duì)應(yīng)的八進(jìn)制數(shù)。例1.1.2 將二進(jìn)制數(shù)(11100101.11101011)2轉(zhuǎn)換成八進(jìn)制數(shù)。(11100101.11101011)2(345.726)8(2) 八進(jìn)制數(shù)轉(zhuǎn)換成二進(jìn)制數(shù)。將每位八進(jìn)制數(shù)用三位二進(jìn)制數(shù)來代替,再按原來的順序排列起來,便得到了相應(yīng)的二進(jìn)制數(shù)。例1.1.3 將八進(jìn)制數(shù)(745.361)8轉(zhuǎn)換成二進(jìn)制數(shù)。(745.361)8 (111100101.011110001)22二進(jìn)制和十六進(jìn)制間的相互轉(zhuǎn)換(1) 二進(jìn)制數(shù)轉(zhuǎn)換成十六進(jìn)制數(shù)。二進(jìn)制數(shù)轉(zhuǎn)換為十六進(jìn)制數(shù)的方法是:整數(shù)部分從低位開始,每四位二進(jìn)制數(shù)為一組,最后不足四位的,則在高位加0補(bǔ)足四位為止;小數(shù)部分從高位開始,每四位二進(jìn)制數(shù)為一組,最后不足四位的,在低位加0補(bǔ)足四位,然后用對(duì)應(yīng)的十六進(jìn)制數(shù)來代替,再按順序?qū)懗鰧?duì)應(yīng)的十六進(jìn)制數(shù)。例1.1.4 將二進(jìn)制數(shù)(10011111011.111011)2轉(zhuǎn)換成十六進(jìn)制數(shù)。(10011111011.111011)2(4FB.EC)16(2)十六進(jìn)制數(shù)轉(zhuǎn)換成二進(jìn)制數(shù)。將每位十六進(jìn)制數(shù)用四位二進(jìn)制數(shù)來代替,再按原來的順序排列起來便得到了相應(yīng)的二進(jìn)制數(shù)。例1.1.5 將十六進(jìn)制數(shù)(3BE5.97D)16轉(zhuǎn)換成二進(jìn)制數(shù)。(3BE5.97D)16(11101111100101.100101111101)21.2.3 二進(jìn)制代碼討論:碼的作用;BCD碼。一、二-十進(jìn)制代碼將十進(jìn)制數(shù)的09十個(gè)數(shù)字用二進(jìn)制數(shù)表示的代碼,稱為二-十進(jìn)制碼,又稱BCD碼。表1.2.2 常用二-十進(jìn)制代碼表(重點(diǎn)講解8421碼、5421碼和余3碼)注意:含權(quán)碼的意義。二、可靠性代碼1格雷碼表1.2.3 格雷碼與二進(jìn)制碼關(guān)系對(duì)照表2奇偶校驗(yàn)碼為了能發(fā)現(xiàn)和校正錯(cuò)誤,提高設(shè)備的抗干擾能力,就需采用可靠性代碼,而奇偶校驗(yàn)碼就具有校驗(yàn)這種差錯(cuò)的能力,它由兩部分組成。表1.2.4 8421奇偶校驗(yàn)碼課號(hào):2課題:第1章 邏輯代數(shù)基礎(chǔ) 1.1 邏輯函數(shù)及其表示方法目的與要求:熟練掌握基本邏輯運(yùn)算和幾種常用復(fù)合導(dǎo)出邏輯運(yùn)算;熟練運(yùn)用真值表、邏輯式、邏輯圖來表示邏輯函數(shù)。重點(diǎn)與難點(diǎn):重點(diǎn):三種基本邏輯運(yùn)算和幾種導(dǎo)出邏輯運(yùn)算;真值表、邏輯式、邏輯圖之間的相互轉(zhuǎn)換。難點(diǎn):將真值表轉(zhuǎn)換為邏輯式。教具:課堂討論:討論簡(jiǎn)單邏輯運(yùn)算的邏輯口訣;分析邏輯式與邏輯圖之間的相互轉(zhuǎn)換以及如何由邏輯式或邏輯圖列真值表?,F(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程數(shù)字電路網(wǎng)絡(luò)課程復(fù)習(xí)(提問):與、或、非邏輯的運(yùn)算口訣、邏輯符號(hào)。授課班次:提綱第2章 邏輯代數(shù)基礎(chǔ)2.1 概述2.2邏輯函數(shù)及其表示法2 . 2 . 1 基本邏輯函數(shù)及運(yùn)算一、與邏輯二、或邏輯三、邏輯非2.2.2 幾種導(dǎo)出的邏輯運(yùn)算一、與非運(yùn)算、或非運(yùn)算、與或非運(yùn)算二、異或運(yùn)算和同或運(yùn)算2.2.3 邏輯函數(shù)及其表示法一、邏輯函數(shù)的建立二、邏輯函數(shù)的表示方法1真值表2邏輯函數(shù)式3邏輯圖 第2章 邏輯代數(shù)基礎(chǔ)2.1 概述布爾:英國數(shù)學(xué)家,1941年提出變量“0”和“1”代表不同狀態(tài)。 本章主要介紹邏輯代數(shù)的基本運(yùn)算、基本定律和基本運(yùn)算規(guī)則,然后介紹邏輯函數(shù)的表示方法及邏輯函數(shù)的代數(shù)化簡(jiǎn)法和卡諾圖化簡(jiǎn)法。邏輯代數(shù)有其自身獨(dú)立的規(guī)律和運(yùn)算法則,而不同于普通代數(shù)。2.2邏輯函數(shù)及其表示法2 . 2 . 1 基本邏輯函數(shù)及運(yùn)算1、與運(yùn)算 所有條例都具備事件才發(fā)生開關(guān):“1” 閉合,“0” 斷開 燈:“1” 亮,“0” 滅真值表:把輸入所有可能的組合與輸出取值對(duì)應(yīng)列成表。邏輯表達(dá)式: L=K1*K2 (邏輯乘)邏輯符號(hào): 原有符號(hào):討論與邏輯運(yùn)算的邏輯口訣邏輯功能口決: 有“0”出“0”,全“1”出“1”。2、或運(yùn)算 至少有一個(gè)條件具備,事件就會(huì)發(fā)生。邏輯表達(dá)式:L=K1+K2 (邏輯加)邏輯符號(hào):討論或邏輯運(yùn)算的邏輯口訣邏輯功能口決:有“1”出“1”全“0”出“0”3、非運(yùn)算: 結(jié)果與條件相反邏輯表達(dá)式: 邏輯符號(hào): 討論非邏輯運(yùn)算的邏輯口訣2.2.2 幾種導(dǎo)出的邏輯運(yùn)算一、與非運(yùn)算、或非運(yùn)算、與或非運(yùn)算二、異或運(yùn)算和同或運(yùn)算邏輯表達(dá)式: 相同為“1”,不同為“0”2.2.3 邏輯函數(shù)及其表示法一、邏輯函數(shù)的建立舉例子說明建立(抽象)邏輯函數(shù)的方法,加深對(duì)邏輯函數(shù)概念的理解。例2.2.1 兩個(gè)單刀雙擲開關(guān) A和B分別安裝在樓上和樓下。上樓之前,在樓下開燈,上樓后關(guān)燈;反之下樓之前,在樓上開燈,下樓后關(guān)燈。試建立其邏輯式。表2.2.6 例2.2.1真值表例2.2.2 比較A、B兩個(gè)數(shù)的大小二、邏輯函數(shù)的表示方法1真值表邏輯函數(shù)的真值表具有唯一性。邏輯函數(shù)有n個(gè)變量時(shí),共有 個(gè)不同的變量取值組合。在列真值表時(shí),變量取值的組合一般按n位二進(jìn)制數(shù)遞增的方式列出。用真值表表示邏輯函數(shù)的優(yōu)點(diǎn)是直觀、明了,可直接看出邏輯函數(shù)值和變量取值之間的關(guān)系。分析邏輯式與邏輯圖之間的相互轉(zhuǎn)換以及如何由邏輯式或邏輯圖列真值表。2邏輯函數(shù)式寫標(biāo)準(zhǔn)與-或邏輯式的方法是:(l)把任意一組變量取值中的1代以原變量,0代以反變量,由此得到一組變量的與組合,如 A、B、C三個(gè)變量的取值為 110時(shí),則代換后得到的變量與組合為 A B 。(2)把邏輯函數(shù)值為1所對(duì)應(yīng)的各變量的與組合相加,便得到標(biāo)準(zhǔn)的與-或邏輯式。3邏輯圖邏輯圖是用基本邏輯門和復(fù)合邏輯門的邏輯符號(hào)組成的對(duì)應(yīng)于某一邏輯功能的電路圖。例2.2.3 已知真值表,試寫出邏輯式并畫出邏輯圖。課號(hào):3課題:1.1 邏輯代數(shù)的基本定律和規(guī)則目的與要求:理解并掌握邏輯代數(shù)的基本公式、基本定律和三個(gè)重要規(guī)則。重點(diǎn)與難點(diǎn):重點(diǎn):基本公式和基本定律;三個(gè)重要規(guī)則。難點(diǎn):吸收律和摩根定律;代入規(guī)則。課堂討論:吸收律和摩根定律的證明;三個(gè)重要規(guī)則的驗(yàn)證?,F(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程復(fù)習(xí)(提問):與、或、非;與非、或非、同或、異或邏輯的運(yùn)算口訣、邏輯符號(hào)。授課班次:2. 3 邏輯代數(shù)的基本定律和規(guī)則2.3.1 邏輯代數(shù)的基本公式一、邏輯常量運(yùn)算公式二、邏輯變量、常量運(yùn)算公式2.3.2邏輯代數(shù)的基本定律一、與普通代數(shù)相似的定律二、吸收律三、摩根定律2.3.3 邏輯代數(shù)的三個(gè)重要規(guī)則一、代入規(guī)則二、反演規(guī)則三、對(duì)偶規(guī)則2. 3 邏輯代數(shù)的基本定律和規(guī)則2.3.1 邏輯代數(shù)的基本公式一、邏輯常量運(yùn)算公式表2.3.1 邏輯常量運(yùn)算公式變量A的取值只能為0或?yàn)?,分別代入驗(yàn)證。2.3.2邏輯代數(shù)的基本定律邏輯代數(shù)的基本定律是分析、設(shè)計(jì)邏輯電路,化簡(jiǎn)和變換邏輯函數(shù)式的重要工具。這些定律和普通代數(shù)相似,有其獨(dú)特性。一、與普通代數(shù)相似的定律表2.3.3交換律、結(jié)合律、分配律與學(xué)生一同驗(yàn)證以上四式。第式的推廣: (2.3.1)由表2.3.4可知,利用吸收律化簡(jiǎn)邏輯函數(shù)時(shí),某些項(xiàng)或因子在化簡(jiǎn)中被吸收掉,使邏輯函數(shù)式變得更簡(jiǎn)單。三、摩根定律2.3.3 邏輯代數(shù)的三個(gè)重要規(guī)則一、代入規(guī)則對(duì)于任一個(gè)含有變量A的邏輯等式,可以將等式兩邊的所有變量A用同一個(gè)邏輯函數(shù)替代,替代后等式仍然成立。這個(gè)規(guī)則稱為代入規(guī)則。代入規(guī)則的正確性是由邏輯變量和邏輯函數(shù)值的二值性保證的。若兩函數(shù)相等,其對(duì)偶式也相等。 (可用于變換推導(dǎo)公式)。討論三個(gè)規(guī)則的正確性。課號(hào):4課題:1.2.2 邏輯涵數(shù)的公式化簡(jiǎn)法目的與要求:理解化簡(jiǎn)的意義和標(biāo)準(zhǔn);掌握代數(shù)化簡(jiǎn)的幾種基本方法并能熟練運(yùn)用。重點(diǎn)與難點(diǎn):重點(diǎn):5種常見的邏輯式;用并項(xiàng)法、吸收法、消去法、配項(xiàng)法對(duì)邏輯函數(shù)進(jìn)行化簡(jiǎn)。難點(diǎn):運(yùn)用代數(shù)化簡(jiǎn)法對(duì)邏輯函數(shù)進(jìn)行化簡(jiǎn)。教具:課堂討論:例2 .4 .1 例2 .4 .2 現(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程復(fù)習(xí)(提問):邏輯代數(shù)的基本公式、基本定律和三個(gè)重要規(guī)則。1.2.2 邏輯涵數(shù)的公式化簡(jiǎn)法2 . 4 . 1 化簡(jiǎn)的意義與標(biāo)準(zhǔn)一、化簡(jiǎn)邏輯函數(shù)的意義二、邏輯函數(shù)式的幾種常見形式和變換三、邏輯函數(shù)的最簡(jiǎn)與-或式 2 . 4 . 2 邏輯函數(shù)的代數(shù)化簡(jiǎn)法一、并項(xiàng)法二、吸收法三、消去法四、配項(xiàng)法2 . 4 . 3 代數(shù)化簡(jiǎn)法舉例作業(yè):P35 2.12.4 邏輯涵數(shù)的公式化簡(jiǎn)法2 . 4 . 1 化簡(jiǎn)的意義與標(biāo)準(zhǔn)一、化簡(jiǎn)邏輯函數(shù)的意義根據(jù)邏輯問題歸納出來的邏輯函數(shù)式往往不是最簡(jiǎn)邏輯函數(shù)式,對(duì)邏輯函數(shù)進(jìn)行化簡(jiǎn)和變換,可以得到最簡(jiǎn)的邏輯函數(shù)式和所需要的形式,設(shè)計(jì)出最簡(jiǎn)潔的邏輯電路。這對(duì)于節(jié)省元器件,優(yōu)化生產(chǎn)工藝,降低成本和提高系統(tǒng)的可靠性,提高產(chǎn)品在市場(chǎng)的競(jìng)爭(zhēng)力是非常重要的。二、邏輯函數(shù)式的幾種常見形式和變換常見的邏輯式主要有5種形式,如邏輯式可表示為三、邏輯函數(shù)的最簡(jiǎn)與-或式對(duì)與或式而言:最簡(jiǎn): 2 . 4 . 2 邏輯函數(shù)的代數(shù)化簡(jiǎn)法一、并項(xiàng)法 2 . 4 . 3 代數(shù)化簡(jiǎn)法舉例在實(shí)際化簡(jiǎn)邏輯函數(shù)時(shí),需要靈活運(yùn)用上述幾種方法,才能得到最簡(jiǎn)與-或式.課號(hào):5課題:1.2.3 邏輯涵數(shù)的卡諾圖化簡(jiǎn)法目的與要求:掌握最小項(xiàng)的卡諾圖表示;熟練運(yùn)用卡諾圖化簡(jiǎn)邏輯函數(shù)。重點(diǎn)與難點(diǎn):重點(diǎn):用卡諾圖表示邏輯函數(shù);用卡諾圖化簡(jiǎn)邏輯函數(shù);具有無關(guān)項(xiàng)的邏輯函數(shù)的化簡(jiǎn)。難點(diǎn):用卡諾圖化簡(jiǎn)邏輯函數(shù)以及具有無關(guān)項(xiàng)的邏輯函數(shù)的化簡(jiǎn)。教具:1.2.3 邏輯函數(shù)的卡諾圖化簡(jiǎn)法1.2.3 最小項(xiàng)與卡諾圖一、最小項(xiàng)的定義和性質(zhì)1最小項(xiàng)的定義2最小項(xiàng)的基本性質(zhì)二、表示最小項(xiàng)的卡諾圖1相鄰最小項(xiàng)2最小項(xiàng)的卡諾圖表示2. 5. 2 用卡諾圖表示邏輯函數(shù)一、邏輯函數(shù)的標(biāo)準(zhǔn)與-或式二、用卡諾圖表示邏輯函數(shù)1已知邏輯函數(shù)式為標(biāo)準(zhǔn)與-或式,畫邏輯函數(shù)卡諾圖。2已知邏輯函數(shù)真值表,畫邏輯函數(shù)卡諾圖3邏輯函數(shù)為一般表達(dá)式時(shí),畫邏輯函數(shù)卡諾圖。2. 5. 3 用卡諾圖化簡(jiǎn)邏輯函數(shù)2. 5. 4 具有無關(guān)項(xiàng)的邏輯函數(shù)的化簡(jiǎn)一、邏輯函數(shù)中的無關(guān)項(xiàng)二、利用無關(guān)項(xiàng)化簡(jiǎn)邏輯函數(shù) 2.5 邏輯函數(shù)的卡諾圖化簡(jiǎn)法2. 5. 1 最小項(xiàng)與卡諾圖一、最小項(xiàng)的定義和性質(zhì)1最小項(xiàng)的定義特點(diǎn):每項(xiàng)都有n個(gè)變量每個(gè)乘積它中每個(gè)變量出現(xiàn)且僅出項(xiàng)1次2最小項(xiàng)的基本性質(zhì)a只有一組取值使之為“1”b任二最小項(xiàng)乘積與“0”c所的最小項(xiàng)之和為“1”二、表示最小項(xiàng)的卡諾圖1相鄰最小項(xiàng)邏輯相鄰項(xiàng)只有一個(gè)變量取值不同其余變量均相同的最小項(xiàng)兩個(gè)相鄰最小項(xiàng)可以相加合并為一項(xiàng),同時(shí)消去互反變量,合并結(jié)果為相同變量。對(duì)于五變量及以上的卡諾圖,由于很復(fù)雜,在邏輯函數(shù)的化簡(jiǎn)中很少使用。2. 5. 2 用卡諾圖表示邏輯函數(shù)一、邏輯函數(shù)的標(biāo)準(zhǔn)與-或式如一個(gè)或邏輯式中的每一個(gè)與項(xiàng)都是最小項(xiàng),則該邏輯式叫做標(biāo)準(zhǔn)與-或式,又稱為最小項(xiàng)表達(dá)式,并且標(biāo)準(zhǔn)與-或式是唯一的。二、用卡諾圖表示邏輯函數(shù)1最小項(xiàng)表達(dá)式 卡諾圖例2. 5. 2 試畫出例2. 5. 1中的標(biāo)準(zhǔn)與-或式的卡諾圖。解:(1)畫出4變量最小項(xiàng)卡諾圖,如圖2. 5. 4所示。2真值表 卡諾圖邏輯函數(shù)真值表和邏輯函數(shù)的標(biāo)準(zhǔn)與-或式是一對(duì)應(yīng)的關(guān)系,所以可以直接根據(jù)真值表填卡諾圖。3一般表達(dá)式樣 卡諾圖 (1)、化為最小項(xiàng)表達(dá)式(2)、把卡諾圖中含有某個(gè)與項(xiàng)各變量的方格均填入1,直到填完邏輯式的全部與項(xiàng)。2.5.3 用卡諾圖化簡(jiǎn)邏輯函數(shù)步驟:畫卡諾圖 正確圈組 寫最簡(jiǎn)與或表達(dá)式2. 5. 4 具有無關(guān)項(xiàng)的邏輯函數(shù)的化簡(jiǎn)一、邏輯函數(shù)中的無關(guān)項(xiàng)用“”(或“d” )表示利用無關(guān)項(xiàng)化簡(jiǎn)原則:、 無關(guān)項(xiàng)即可看作“1”也可看作“0”。、 卡諾圖中,圈組內(nèi)的“”視為“1”,圈組外的視為“0”。例2. 5. 6 為8421BCD碼,當(dāng)其代表的十進(jìn)制數(shù)5時(shí),輸出為“1”,求Y的最簡(jiǎn)表達(dá)式。(用于間斷輸入是否大于5)解:先列真值表,再畫卡諾圖作業(yè):課號(hào):6課題:第2章 邏輯門電路2.1 概述2.1分立元件門電路目的與要求:熟悉二、三極管的開關(guān)特性,掌握三極管導(dǎo)通、截止條件;了解分立元件與門、或門、非門及與非門、或非門的工作原理和邏輯功能。重點(diǎn)與難點(diǎn):重點(diǎn):二、三極管的開關(guān)特性和開關(guān)等效電路。難點(diǎn):分立元件門電路的工作原理。教具:課堂討論:討論二、三極管的開關(guān)等效電路;分析分立元件門電路的工作原理。現(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程PowerPoint復(fù)習(xí)(提問):與、或、非及與非、或非邏輯的運(yùn)算口訣、邏輯符號(hào)。授課班次:課時(shí)分配:課堂教學(xué)環(huán)節(jié)課堂組織 課堂討論 復(fù)習(xí)(提問) 新課講解鞏固新課布置作業(yè)時(shí)間分配(分)53055091提綱第2章 邏輯門電路2.1 概述 分立元件門電路2.1.2 二極管的開關(guān)特性一、靜態(tài)開關(guān)特性及開關(guān)等效電路二、動(dòng)態(tài)開關(guān)特性 2.1.3三極管的開關(guān)特性一、靜態(tài)開關(guān)特性及開關(guān)等效電路二、動(dòng)態(tài)開關(guān)特性2.2 二極管門電路一、二極管與門電路二、二極管或門電路組合邏輯門電路一、與非門電路二、或非門電路作業(yè): 第2章 邏輯門電路2.1 概述門電路用以實(shí)現(xiàn)各種基本邏輯關(guān)系的電子電路正邏輯用1表示高電平、用0表示低電平的情況;負(fù)邏輯用0表示高電平、用1表示低電子的情況。(此處用數(shù)字電路網(wǎng)絡(luò)課程或PowerPoint)二、動(dòng)態(tài)開關(guān)特性 (PowerPoint)在高速開關(guān)電路中,需要了解二極管導(dǎo)通與截止間的快速轉(zhuǎn)換過程。當(dāng)輸入電壓UI 由正值UF 躍變?yōu)樨?fù)值UR 的瞬間,VD 并不能立刻截止,而是在外加反向電壓 UR作用下,產(chǎn)生了很大的反向電流IR ,這時(shí) iD IR- URR,經(jīng)一段時(shí)間 trr后二極管VD 才進(jìn)人截止?fàn)顟B(tài),如圖3. 2. 3 (c) 所示。通常將trr 稱作反向恢復(fù)時(shí)間。產(chǎn)生 trr的主要原因是由于二極管在正向?qū)〞r(shí),P區(qū)的多數(shù)載流子空穴大量流入N區(qū),N區(qū)的多數(shù)載流子電子大量流入P區(qū),在P區(qū)和N區(qū)中分別存儲(chǔ)了大量的電子和空穴,統(tǒng)稱為存儲(chǔ)電荷。當(dāng)UI 由UF 躍變?yōu)樨?fù)值 UR時(shí),上述存儲(chǔ)電荷不會(huì)立刻消失,在反向電壓的作用下形成了較大的反向電流 IR,隨著存儲(chǔ)電荷的不斷消散,反向電流 也隨之減少,最終二極管VD 轉(zhuǎn)為截止。當(dāng)二極管VD 由截止轉(zhuǎn)為導(dǎo)通時(shí),在P區(qū)和N區(qū)中積累電荷所需的時(shí)間遠(yuǎn)比trr 小得多,故可以忽略。3. 2. 2 三極管的開關(guān)特性一、靜態(tài)開關(guān)特性及開關(guān)等效電路3. 2. 3 二極管門電路一、二極管與門電路二、二極管或門電路表3.2.3 或門輸入和輸出的邏輯電平 表3.2.4 或門的真值表 表3.2.5 非門的真值表二、或非門電路列出其真值表作業(yè):小結(jié):板書計(jì)劃:課 時(shí) 授 課 計(jì) 劃 - 7 課號(hào):7課題:2.4 TTL集成邏輯門電路目的與要求:TTL集成邏輯門電路的結(jié)構(gòu)、工作原理和外部特性。重點(diǎn)與難點(diǎn):重點(diǎn):熟悉TTL集成邏輯門電路的結(jié)構(gòu)、工作原理和外部特性。; 難點(diǎn):TTL集成邏輯門電路的結(jié)構(gòu)、工作原理和外部特性。教具:課堂討論:工作原理現(xiàn)代教學(xué)方法與手段:PowerPoint復(fù)習(xí)(提問):與、或、非;與非、或非、同或、異或邏輯的運(yùn)算口訣、邏輯符號(hào)。2.4TTL集成邏輯門電路2.4.1 TTL與非門一、TTL與非門的工作原理1電路結(jié)構(gòu)2工作原理二、工作速度1采用抗飽和三極管2采用有源泄放電路三、電壓傳輸特性和噪聲容限1電壓傳輸特性2關(guān)門電平、開門電平和閾值電壓3噪聲容限四、輸入負(fù)載特性五、輸出負(fù)載特性1輸出低電平負(fù)載特性2輸出高電平負(fù)載特性六、傳輸延遲時(shí)間低功耗肖特基系列2.4 TTL集成邏輯門電路2.4.1 TTL與非門內(nèi)部電路只需了解原理,外部特性要掌握。一、TTL與非門的工作原理 利用PowerPoint1電路結(jié)構(gòu)2工作原理輸入有低電平0.3V: K點(diǎn)電位為1V V1導(dǎo)通 V2V5截止,V3V4導(dǎo)通。 (F為3.6V高電平。)輸入全為高電平3V 則K點(diǎn)電位3.7V 在三個(gè)PN結(jié)的鉗制下VK=2.1v V1集電結(jié)正偏 發(fā)射結(jié)反偏。R1處于倒置工作狀態(tài)(B反)R1 V5-飽和 M點(diǎn)電位1V 則V3微導(dǎo)通 V4截止 (則F=0.3V 低電平)由、1采用抗飽和三極管三極管飽和越深,其工作速度越慢。要提高電路的工作速度,就必須設(shè)法使三極管工作在淺飽和狀態(tài),為此,需采用抗飽和三極管。2采用有源泄放電路在V5導(dǎo)通后,V6接著導(dǎo)通,分流了V5的部分基極電流,使V5工作在淺飽和狀態(tài),這也有利于縮短V5由導(dǎo)通向截止轉(zhuǎn)換的時(shí)間。當(dāng)V2由導(dǎo)通轉(zhuǎn)為截止后,由于V6仍處于導(dǎo)通狀態(tài),為V5基區(qū)存儲(chǔ)電荷的泄放提供了低阻通路,加速了V5的截止,從而縮短了關(guān)閉時(shí)間。三、電壓傳輸特性和噪聲容限1電壓傳輸特性2關(guān)門電平、開門電平和閾值電壓(1)關(guān)門電平 在保證輸出為標(biāo)準(zhǔn)高電平USH ( 常取USH3V)時(shí),允許輸入低電平的最大值稱為關(guān)門電平,用UOFF表示。由上圖可得UOFF1.0V。顯然,只有當(dāng)輸入uIUOFF時(shí),與非門才關(guān)閉,輸出高電平。 (2)開門電平 在保證輸出為標(biāo)準(zhǔn)低電平USL(常取USL0.3V)時(shí),允許輸入高電平的最小值稱為開門電平,用UON表示。由上圖可得UON1.2V。顯然,只有當(dāng)uIUON時(shí),與非門才開通,輸出低電平。(3)閾值電壓工作在電壓傳輸特性轉(zhuǎn)折區(qū)中點(diǎn)對(duì)應(yīng)的輸入電壓稱為閾值電壓,又稱門檻電平。3噪聲容限 搞干擾能力VNL(低電平噪聲容限)= VOFFVILVNL(高電平噪聲容限)= VIHVON四、輸入負(fù)載特性五、輸出負(fù)載特性輸出電壓U0隨負(fù)載電流i0變化的特性曲線稱為輸出負(fù)載特性。3.3.2 低功耗肖特基系列1功耗低為了降低功耗,大幅度地提高了電路中各電阻的阻值,同時(shí)將R5由接地改為接輸出端,減少了V3導(dǎo)通時(shí)在R5上的功耗,從而降低了整個(gè)電路的功耗,其功耗約為2mW,僅為CT74S系列的1/10。2工作速度高為了提高工作速度,電路采用了以下措施:(1)電路中采用了抗飽和三極管和由V6、RB和RC組成的有源泄放電路。(2)輸入級(jí)的多發(fā)射極管V1改用沒有電荷存儲(chǔ)效應(yīng)的肖特基勢(shì)壘二極管SBD代替。這 樣,在輸入信號(hào)變化時(shí),瞬態(tài)響應(yīng)快,提高了工作速度。(3)在輸出級(jí)和中間級(jí)之間接人了VD4和VD5兩個(gè)SBD,課號(hào):8課題:2.4.3 其它功能的TTL門電路TTL數(shù)字集成電路系列 TTL集成邏輯門的使用注意事項(xiàng)目的與要求:熟悉OC門和TTL三態(tài)門的工作原理及有關(guān)的邏輯概念;了解國際上通用標(biāo)準(zhǔn)型號(hào)和我國現(xiàn)行國家標(biāo)準(zhǔn)。重點(diǎn)與難點(diǎn):重點(diǎn):OC門和TTL三態(tài)門的應(yīng)用。難點(diǎn):OC門和TTL三態(tài)門的工作原理。教具:課堂討論:高阻態(tài)的含義;OC門和TTL三態(tài)門的應(yīng)用?,F(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程PowerPoint復(fù)習(xí)(提問):TTL集成與非門的外特性;提高TTL集成與非門開關(guān)速度的方法。2.4.3其它功能的TTL門電路一、集電極開路與非門(OC門)1OC門的工作原理2OC門的應(yīng)用二、與或非門三、三態(tài)輸出門(TSL門)1三態(tài)輸出門的工作原理2三態(tài)輸出門的應(yīng)用3.3.4 TTL數(shù)字集成電路系列一、CT54系列和CT74系列二、TTL集成邏輯門電路的子系列三、各系列TTL集成邏輯門電路性能的比較3.3.5 TTL集成邏輯門的使用注意事項(xiàng)一、電源電壓及電源干擾的消除二、輸出端的連接三、閑置輸入端的處理四、電路安裝接線和焊接應(yīng)注意的問題五、調(diào)試中應(yīng)注意的問題3.3.3 其它功能的TTL門電路一、集電極開路與非門(OC門)1OC門的工作原理工作原理:當(dāng)輸入人A、B、C都為高電平時(shí),V2和V5飽和導(dǎo)通,輸出低電平;當(dāng)輸入A、B、C中有低電平時(shí),V2和V5截止,輸出高電平。因此,OC門具有與非功能,其邏輯表達(dá)式為: 二、與或非門三、三態(tài)輸出門(TSL門)1三態(tài)輸出門的工作原理2三態(tài)輸出門的應(yīng)用(1)用三態(tài)輸出門構(gòu)成單向總線(2)用三態(tài)輸出門構(gòu)成雙向總線3.3.4 TTL數(shù)字集成電路系列一、CT54系列和CT74系列 表3. 3. 2 CT54系列和CT74系列的對(duì)比CT54系列和CT74系列具有完全相同的電路結(jié)構(gòu)和電氣性能參數(shù)。所不同的是CT54系列TTL集成電路更適合在溫度條件惡劣、供電電源變化大的環(huán)境中工作,常用于軍品;而CT74系列TTL集成電路則適合在常規(guī)條件下工作,常用于民品。二、TTL集成邏輯門電路的子系列CT54系列和CT74系列的幾個(gè)子系列的主要區(qū)別在它們的平均傳輸延遲時(shí)間tpd 和平均功耗這兩個(gè)參數(shù)上。下面以CT74系列為例說明它的各子系列。1CT74標(biāo)準(zhǔn)系列又稱標(biāo)準(zhǔn)TTL系列,工作速度不高,其平均傳輸延遲時(shí)間為9ns門,平均功耗約為10mW門。2CT74H高速系列又稱HTTL系列,該系列的平均傳輸延遲時(shí)間為6ns門,平均功耗約為22 .5mW門。3CT74L低功耗系列又稱LTTL系列,電路的平均功耗約為lmW門,平均傳輸延遲約為33ns門。4CT74S肖特基系列又稱STTL系列。其平均傳輸延遲時(shí)間為3ns門,平均功耗約為19mW。5CT74LS低功耗肖特基系列又稱LSTTL系列。其平均傳輸延遲時(shí)間為9.5ns門,平均功耗約為2mW門。6CT74AS先進(jìn)肖特基系列又稱ASTTL系列,其平均傳輸延遲時(shí)間為3ns門,平均功耗較大,約為8mW門。7CT74ALS先進(jìn)低功耗肖特基系列又稱ALSTTL系列,其平均傳輸延遲時(shí)間約為3.5ns門,平均功耗約為1.2mW門。三、各系列TTL集成邏輯門電路性能的比較表3. 3. 3 TTL集成邏輯門各子系列重要參數(shù)比較3.3.5 TTL集成邏輯門的使用注意事項(xiàng)一、電源電壓及電源干擾的消除電源電壓的變化對(duì)54系列應(yīng)滿足5V 10%、對(duì)74系列應(yīng)滿足5V 5的要求。二、輸出端的連接三、閑置輸入端的處理(1) 對(duì)于與非門的閑置輸人端可直接接電源電壓VCC,或通過110k 的電阻接電源VCC。(2)如前級(jí)驅(qū)動(dòng)能力允許時(shí),可將閑置輸人端與有用輸人端并聯(lián)使用,如圖3.3.18(C)所示。(3)在外界干擾很小時(shí),與非門的閑置輸人端可以剪斷或懸空,如圖3.3.18(d)所示。但不允許接開路長線,以免引入干擾而產(chǎn)生邏輯錯(cuò)誤。(4)或非門不使用的閑置輸人端應(yīng)接地,對(duì)與或非門中不使用的與門至少有一個(gè)輸人端接地,如圖3.3.18(e)和(f)所示。四、電路安裝接線和焊接應(yīng)注意的問題五、調(diào)試中應(yīng)注意的問題課號(hào):9課題:3.4 CMOS集成邏輯門電路3.5 集成邏輯門電路的應(yīng)用目的與要求:了解CMOS集成邏輯門電路的結(jié)構(gòu)及原理;了解集成邏輯門電路的應(yīng)用。重點(diǎn)與難點(diǎn):重點(diǎn):CMOS集成邏輯門電路的結(jié)構(gòu)及原理。難點(diǎn):MOS集成邏輯門電路的原理。教具:現(xiàn)代教學(xué)方法與手段:數(shù)字電路網(wǎng)絡(luò)課程PowerPoint復(fù)習(xí)(提問):簡(jiǎn)單邏輯門電路的邏輯口訣 3.3.3 其它功能的TTL門電路一、集電極開路與非門(OC門)1OC門的工作原理2OC門的應(yīng)用二、與或非門三、三態(tài)輸出門(TSL門)1三態(tài)輸出門的工作原理2三態(tài)輸出門的應(yīng)用3.3.4 TTL數(shù)字集成電路系列一、CT54系列和CT74系列二、TTL集成邏輯門電路的子系列三、各系列TTL集成邏輯門電路性能的比較3.3.5 TTL集成邏輯門的使用注意事項(xiàng)一、電源電壓及電源干擾的消除二、輸出端的連接三、閑置輸入端的處理四、電路安裝接線和焊接應(yīng)注意的問題五、調(diào)試中應(yīng)注意的問題作業(yè):P87 3.4 25 MOS門電路CMOS 應(yīng)用廣泛、工藝簡(jiǎn)單、抗干擾能力強(qiáng)、集成度高、功耗小、價(jià)廉高 5V 低 0V IG (控制極)一、MOS反相器1、MOS管開關(guān)特性、NMOS、輸出范圍大(頂天立地) VOH=VDD、VOL=0V1 與非門 驅(qū)動(dòng)管串聯(lián)、負(fù)載管并聯(lián) (圖略)單雙擲控制開頭3.3.4 TTL數(shù)字集成電路系列400系列(普通CMOS tpd約45nS 功耗5mw如代號(hào)4001 為 四個(gè)2輸入或非門4069 為 六個(gè)反相器4016 為 六個(gè)雙向開關(guān)高速CMOS 74HC系列(可代替TTL電路)tpd<ns 功耗>1mw3.3.5 TTL集成邏輯門的使用注意事項(xiàng)一、電源電壓及電源干擾的消除二、輸出端的連接三、閑置輸入端的處理四、電路安裝接線和焊接應(yīng)注意的問題五、調(diào)試中應(yīng)注意的問題課 時(shí) 授 課 計(jì) 劃 -10 課號(hào):10課題:4.1基本RS觸發(fā)器4.22 同步觸發(fā)器(同步RS觸發(fā)器)目的與要求:1 掌握時(shí)序電路的定義、分類、觸發(fā)器的特點(diǎn)。2 掌握基本RS觸發(fā)器的電路結(jié)構(gòu)、工作原理、邏輯功能。3 掌握同步RS觸發(fā)器的工作原理、邏輯功能。4 掌握觸發(fā)器邏輯功能的表示方法。5 掌握時(shí)序電路的一些基本概念。重點(diǎn)與難點(diǎn):1 基本概念要正確建立。難點(diǎn):現(xiàn)態(tài)、次態(tài)、不定狀態(tài)的正確理解。2 基本RS觸發(fā)器的邏輯功能、觸發(fā)方式。教具:課堂討論:現(xiàn)代教學(xué)方法與手段:用DLCCAI或EWB演示基本RS觸發(fā)器的邏輯功能。復(fù)習(xí)(提問):1 組合電路的定義?構(gòu)成其電路的門電路有何特點(diǎn)?2 組合電路與時(shí)序電路的區(qū)別?3 時(shí)序電路的一些基本概念?授課班次:課時(shí)分配:課堂教學(xué)環(huán)節(jié)課堂組織課堂討論復(fù)習(xí)(提問)新課講解鞏固新課布置作業(yè)時(shí)間分配(分)5058055提綱第4章 集成觸發(fā)器內(nèi)容提要4.1 概述一、觸發(fā)器的概念觸發(fā)器有三個(gè)基本特性:二、觸發(fā)器的兩個(gè)穩(wěn)定狀態(tài)1狀態(tài):0狀態(tài):三、觸發(fā)器的邏輯功能描述:四、觸發(fā)器的分類:4.2 觸發(fā)器的基本形式4.2.1 基本RS觸發(fā)器一、由與非門組成的基本RS觸發(fā)器1電路結(jié)構(gòu)2邏輯功能 3特性表二、由或非門組成的基本RS觸發(fā)器4.2.2 同步觸發(fā)器一、同步RS觸發(fā)器1電路結(jié)構(gòu)2邏輯功能3驅(qū)動(dòng)表4特性方程5狀態(tài)轉(zhuǎn)換圖 第4章 集成觸發(fā)器內(nèi)容提要觸發(fā)器:具有記憶功能的基本邏輯單元?;綬S觸發(fā)器的電路結(jié)構(gòu)、工作原理、邏輯功能。各種觸發(fā)器的邏輯功能、觸發(fā)方式。簡(jiǎn)單介紹觸發(fā)器的應(yīng)用。4.1 概述一、觸發(fā)器的概念復(fù)習(xí):組合電路的定義?構(gòu)成其電路的門電路有何特點(diǎn)?組合電路與時(shí)序電路的區(qū)別?門電路:在某一時(shí)刻的輸出信號(hào)完全取決于該時(shí)刻的輸入信號(hào),沒有記憶作用。觸發(fā)器:具有記憶功能的基本邏輯電路,能存儲(chǔ)二進(jìn)制信息(數(shù)字信息)。觸發(fā)器有三個(gè)基本特性:(1)有兩個(gè)穩(wěn)態(tài),可分別表示二進(jìn)制數(shù)碼0和1,無外觸發(fā)時(shí)可維持穩(wěn)態(tài);(2)外觸發(fā)下,兩個(gè)穩(wěn)態(tài)可相互轉(zhuǎn)換(稱翻轉(zhuǎn)),已轉(zhuǎn)換的穩(wěn)定狀態(tài)可長期保持下來,這就使得觸發(fā)器能夠記憶二進(jìn)制信息,常用作二進(jìn)制存儲(chǔ)單元。三、觸發(fā)器的邏輯功能描述:特性表、激勵(lì)表(又稱驅(qū)動(dòng)表)、特性方程、狀態(tài)轉(zhuǎn)換圖和波形圖(又稱時(shí)序圖)四、觸發(fā)器的分類:根據(jù)邏輯功能不同:RS觸發(fā)器、D觸發(fā)器、JK觸發(fā)器、T觸發(fā)器和 觸發(fā)器等。觸發(fā)方式不同:電平觸發(fā)器、邊沿觸發(fā)器和主從觸發(fā)器等。電路結(jié)構(gòu)不同:基本RS觸發(fā)器,同步觸發(fā)器、維持阻塞觸發(fā)器、主從觸發(fā)器和邊沿觸發(fā)器等。4.2 觸發(fā)器的基本形式4.2.1 基本RS觸發(fā)器一、由與非門組成的基本RS觸發(fā)器1電路結(jié)構(gòu)電路組成:兩個(gè)與非門輸入和輸出交叉耦合(反饋延時(shí))。如圖4.2.1(a)所示。邏輯符號(hào):圖(b)所示。2邏輯功能 復(fù)習(xí):與非門的邏輯功能?用DLCCAI或EWB演示基本RS觸發(fā)器的邏輯功能。(10分鐘)工作原理。(邊分析邊列特性表。以下文字不寫板書。)表4.2.1 與非門組成的基本RS觸發(fā)器的特性表二、由或非門組成的基本RS觸發(fā)器電路構(gòu)成:兩個(gè)或非門的輸入和輸出交叉耦合而成,圖4.2.2(a)所示。邏輯符號(hào):圖(b)所示。提問:或非門的邏輯功能?工作原理在與非門實(shí)現(xiàn)的基本RS觸發(fā)器的基礎(chǔ)上稍作變化?;蚍情T組成的基本RS觸發(fā)器的特性表4.2.2 同步觸發(fā)器為何要用同步觸發(fā)器?基本RS觸發(fā)器的觸發(fā)方式:端的輸入信號(hào)直接控制。(電平直接觸發(fā))在實(shí)際工作中,要求觸發(fā)器按一定的節(jié)拍翻轉(zhuǎn)。措施:加入時(shí)鐘控制端CP,觸發(fā)器的狀態(tài)翻轉(zhuǎn)按CP節(jié)拍。同步觸發(fā)器(時(shí)鐘觸發(fā)器或鐘控觸發(fā)器):具有時(shí)鐘脈沖CP控制的觸發(fā)器。CP:控制時(shí)序電路工作節(jié)奏的固定頻率的脈沖信號(hào),一般是矩形波。同步:因?yàn)橛|發(fā)器狀態(tài)的改變與時(shí)鐘脈沖同步。同步觸發(fā)器的翻轉(zhuǎn)時(shí)刻:受CP控制觸發(fā)器翻轉(zhuǎn)到何種狀態(tài):由輸入信號(hào)決定一、同步RS觸發(fā)器1電路結(jié)構(gòu)基本RS觸發(fā)器 + 兩個(gè)鐘控門G3、G4,如圖4.2.3(a)所示。邏輯符號(hào):圖(b)所示。鐘控端(CP端):時(shí)鐘脈沖輸入端。2邏輯功能工作原理。(邊分析邊列特性表。以下文字不寫板書。)當(dāng)CP0時(shí),G3、G4被封鎖,都輸出1,觸發(fā)器的狀態(tài)保持不變,表4.2.2 同步RS觸發(fā)器的特性表3驅(qū)動(dòng)表4特性方程5狀態(tài)轉(zhuǎn)換圖觸發(fā)器從一個(gè)狀態(tài)變化到另一個(gè)狀態(tài)或保持原狀不變時(shí),對(duì)輸入信號(hào)(R、S)提出的要求。根據(jù)驅(qū)動(dòng)表可畫出狀態(tài)轉(zhuǎn)換圖。圓圈:觸發(fā)器的穩(wěn)定狀態(tài)箭頭:在CP作用下狀態(tài)轉(zhuǎn)換的情況標(biāo)注的R、S值:觸發(fā)器狀態(tài)轉(zhuǎn)換的條件。小結(jié):作業(yè):板書計(jì)劃:課 時(shí) 授 課 計(jì) 劃-11 課號(hào):11課題:4.2.2 同步觸發(fā)器二、同步D觸發(fā)器三、同步JK觸發(fā)器四、同步觸發(fā)器的空翻目的與要求:1 通過學(xué)習(xí)同步D、JK觸發(fā)器,掌握這兩種觸發(fā)器的邏輯功能。2 進(jìn)一步熟練掌握邏輯功能的各種描述方法。3了解同步觸發(fā)方式存在的空翻問題。重點(diǎn)與難點(diǎn):D、JK觸發(fā)器的邏輯功能及其功能描述方法。教具: 課堂討論:D 觸發(fā)器的特性方程中沒有出現(xiàn)Q n,那么它是時(shí)序電路嗎?現(xiàn)代教學(xué)方法與手段:復(fù)習(xí)(提問):時(shí)序電路的一些基本概念復(fù)習(xí):現(xiàn)態(tài)、次態(tài)、0/1狀態(tài)、復(fù)位端、置位端、低電平有效、RS觸發(fā)器的特性表、驅(qū)動(dòng)表、特性方程、狀態(tài)轉(zhuǎn)換圖。授課班次:課時(shí)分配:課堂教學(xué)環(huán)節(jié)課堂組織課堂討論 復(fù)習(xí)(提問)新課講解鞏固新課布置作業(yè)時(shí)間分配(分)510106555提綱4.2.2 同步觸發(fā)器二、同步D觸發(fā)器1電路結(jié)構(gòu)2邏輯功能3特性方程4狀態(tài)轉(zhuǎn)換圖三、同步JK觸發(fā)器1電路結(jié)構(gòu)2邏輯功能3特性方程4狀態(tài)轉(zhuǎn)換圖四、同步觸發(fā)器的空翻 4.2.2 同步觸發(fā)器二、同步D觸發(fā)器1電路結(jié)構(gòu)為了避免同步RS觸發(fā)器出現(xiàn)R=S=1的情況,可在R和S之間接入非門G5 ,如圖4.2.6(a)所示。邏輯符號(hào):圖4.2.6(b)所示。2邏輯功能回憶:同步RS觸發(fā)器的邏輯功能?表4.2.2 同步RS觸發(fā)器的特性表根據(jù)特性表可得到在CP1時(shí)的同步D觸發(fā)器的驅(qū)動(dòng)表。 表4.2.5 同步D觸發(fā)器的驅(qū)動(dòng)表三、同步JK觸發(fā)器1電路結(jié)構(gòu)克服同步RS觸發(fā)器在RS1時(shí)出現(xiàn)不定狀態(tài)的另一種方法:將觸發(fā)器輸出端Q和 狀態(tài)反饋到輸入端,這樣,G3和G4的輸出不會(huì)同時(shí)出現(xiàn)0,從而避免了不定狀態(tài)的出現(xiàn)。J、K端相當(dāng)于同步RS觸發(fā)器的S、R端。電路如圖4.2.9所示。邏輯符號(hào):圖(b)所示。2邏輯功能可將同步JK觸發(fā)器看成同步RS觸發(fā)器來分析。有工作原理。(邊分析邊列特性表。以下文字不寫板書。)當(dāng)CP0時(shí),G3和G4被封鎖,保持。當(dāng)CP1時(shí),G3、G4解除封鎖,輸入J、K端的信號(hào)可控制觸發(fā)器的狀態(tài)。表4.2.6 同步JK觸發(fā)器的特性表(CP=1時(shí))根據(jù)特性表可得到在CP1時(shí)的同步JK觸發(fā)器的驅(qū)動(dòng)表。表4.2.7 同步JK觸發(fā)器的驅(qū)動(dòng)表四、同步觸發(fā)器的空翻觸發(fā)器的空翻:在CP為高電平1期間,如同步觸發(fā)器的輸入信號(hào)發(fā)生多次變化時(shí),其輸出狀態(tài)也會(huì)相應(yīng)發(fā)生多次變化的現(xiàn)象。產(chǎn)生空翻的原因:電平觸發(fā)方式,在CP高電平期間有效觸發(fā)同步觸發(fā)器由于存在空翻,不能保證觸發(fā)器狀態(tài)的改變與時(shí)鐘脈沖同步,它只能用于數(shù)據(jù)鎖存,而不能用于計(jì)數(shù)器、移位寄存器和存儲(chǔ)器等。后面將介紹幾種沒有空翻現(xiàn)象的觸發(fā)器。小結(jié):作業(yè):板書計(jì)劃:課 時(shí) 授 課 計(jì) 劃-12 課號(hào):12課題:4.3 邊沿觸發(fā)器4.4 主從觸發(fā)器目的與要求:1 掌握觸發(fā)器的邊沿觸發(fā)方式和主從觸發(fā)方式。2 掌握各種邏輯功能的觸發(fā)器:RS、D、JK、T、T的邏輯功能。3 掌握查手冊(cè)了解MSI觸發(fā)器的邏輯功能和性能的方法。重點(diǎn)與難點(diǎn):1 觸發(fā)器的邏輯功能。2 觸發(fā)器的觸發(fā)方式。教具:數(shù)字邏輯實(shí)驗(yàn)箱課堂討論:1 邊沿觸發(fā)器怎樣克服空翻?2 主從觸發(fā)方式怎樣克服空翻?現(xiàn)代教學(xué)方法與手段:用DLCCAI或EWB演示各種MSI觸發(fā)器的邏輯功能。復(fù)習(xí)(提問):1 RS、D、JK觸發(fā)器的邏輯功能?2 為什么同步觸發(fā)方式存在空翻?授課班次:課時(shí)分配:課堂教學(xué)環(huán)節(jié)課堂組織課堂討論復(fù)習(xí)(提問)新課講解鞏固新課布置作業(yè)時(shí)間分配(分)51057055提綱本節(jié)中,不詳細(xì)分析觸發(fā)器具體電路的工作原理,只簡(jiǎn)單了解即可。因?yàn)榧捎|發(fā)器的學(xué)習(xí)以應(yīng)用時(shí)夠用為度,不強(qiáng)調(diào)內(nèi)部電路。重點(diǎn):邏輯功能、觸發(fā)方式。 克服空翻邊沿觸發(fā)方式和主從觸發(fā)方式4.3 邊沿觸發(fā)器4.3.1 TTL邊沿JK觸發(fā)器一、電路結(jié)構(gòu)二、邏輯功能三、具有直接置0和置1端的邊沿JK觸發(fā)器四、JK觸發(fā)器構(gòu)成的T觸發(fā)器和T觸發(fā)器1JK觸發(fā)器T觸發(fā)器2JK觸發(fā)器T觸發(fā)器4.3.2 維持阻塞D觸發(fā)器一、電路結(jié)構(gòu)二、邏輯功能三、具有直接置0和置1端的維持阻塞D觸發(fā)器四、D觸發(fā)器構(gòu)成的T觸發(fā)器和T觸發(fā)器1D觸發(fā)器T觸發(fā)器2D觸發(fā)器T觸發(fā)器4.4 主從觸發(fā)器4.4.1 主從RS觸發(fā)器一、電路結(jié)構(gòu)二、邏輯功能4.4.2 主從JK觸發(fā)器一、電路結(jié)構(gòu)二、邏輯功能總結(jié):觸發(fā)器的邏輯功能觸發(fā)器的觸發(fā)方式現(xiàn)代教學(xué)方法與手段:用DLCCAI或EWB演示各種MSI觸發(fā)器的邏輯功能。用數(shù)字邏輯實(shí)驗(yàn)箱演示各種集成觸發(fā)器的邏輯功能和觸發(fā)方式。集成觸發(fā)器中常見的直接置0和置1端4.3 邊沿觸發(fā)器為何要用邊沿觸發(fā)器?同步觸發(fā)方式存在空翻,為了克服空翻。邊沿觸發(fā)器只在時(shí)鐘脈沖CP上升沿或下降沿時(shí)刻接收輸入信號(hào),電路狀態(tài)才發(fā)生翻轉(zhuǎn),從而提高了觸發(fā)器工作的可靠性和抗干擾能力,它沒有空翻現(xiàn)象。邊沿觸發(fā)器主要有維持阻塞D觸發(fā)器、邊沿JK觸發(fā)器、CMOS邊沿觸發(fā)器等。以下各邊沿觸發(fā)器的具體電路不詳細(xì)分析其工作原理,只簡(jiǎn)單了解即可。因?yàn)榧捎|發(fā)器的學(xué)習(xí)以應(yīng)用時(shí)夠用為度,不強(qiáng)調(diào)內(nèi)部電路。4.3.1 TTL邊沿JK觸發(fā)器一、電路結(jié)構(gòu)邏輯符號(hào)中“ ”表示邊沿觸發(fā)輸入。加小圓圈:表示下降沿有效觸發(fā)不加小圓圈:表示上升沿有效觸發(fā)二、邏輯功能四、JK觸發(fā)器構(gòu)成的T觸發(fā)器和T觸發(fā)器T觸發(fā)器:具有保持和翻轉(zhuǎn)功能的觸發(fā)器。T觸發(fā)器:只具有翻轉(zhuǎn)功能的觸發(fā)器。1JK觸發(fā)器T觸發(fā)器令JK觸發(fā)器的J=K=T T觸發(fā)器特性方程4.3.2 維持阻塞D觸發(fā)器 一、電路結(jié)構(gòu)二、邏輯功能與觸發(fā)方式 邏輯功能1設(shè)輸入D1 在CP0時(shí),保持。因D1,G6輸入全1,輸出Q60,它使Q41、Q51。 當(dāng)CP由0躍變到1時(shí),觸發(fā)器置1。在CP1期間,線阻塞了置0通路,故稱線為置0阻塞線。線維持了觸發(fā)器的1狀態(tài),故稱線為置1維持線。2設(shè)輸入D0 在CP0時(shí),保持。因D0,G6輸出Q61,這時(shí),G5輸入全1,輸出Q50。 當(dāng)CP由0正躍到1時(shí),觸發(fā)器置0。在CP1期間,線維持了觸發(fā)器的0狀態(tài),故稱線為置0維持線。線阻塞了置1通路,故稱線為置1阻塞線??梢?,它的邏輯功能和前面討論的同步D觸發(fā)器的相同。因此,它們的特性表、驅(qū)動(dòng)表和特性方程也相同。 觸發(fā)方式邊沿式維持阻塞D觸發(fā)器是用時(shí)鐘脈沖上升沿觸發(fā)的。因此,又稱它為邊沿D觸發(fā)器。三、具有直接置0和置1端的維持阻塞D觸發(fā)器圖4.3.5(a)所示為上升沿觸發(fā)的維持阻塞D觸發(fā)器CT7474的邏輯圖。 四、D觸發(fā)器構(gòu)成的T觸發(fā)器和T觸發(fā)器4.4 主從觸發(fā)器1主從觸發(fā)器與邊沿觸發(fā)器同樣可以克服空翻。2結(jié)構(gòu):主從結(jié)構(gòu)。內(nèi)部有相對(duì)稱的主觸發(fā)器和從觸發(fā)器。3觸發(fā)方式:主從式。主、從兩個(gè)觸發(fā)器分別工作在CP兩個(gè)不同的時(shí)區(qū)內(nèi)。總體效果上與邊沿觸發(fā)方式相同。狀態(tài)更新的時(shí)刻只發(fā)生在CP信號(hào)的上升沿或下降沿。4優(yōu)點(diǎn):在CP的每個(gè)周期內(nèi)觸發(fā)器的狀態(tài)只可能變化一次,能提高觸發(fā)器的工作可靠性。主從觸發(fā)器是在同步RS觸發(fā)器的基礎(chǔ)上發(fā)展出來的。各種邏輯功能的觸發(fā)器都有主從觸發(fā)方式的,即:主從RS觸發(fā)器、主

注意事項(xiàng)

本文(數(shù)字電路教案)為本站會(huì)員(fgh****35)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!