九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOCX文檔下載  

單片機(jī)課程設(shè)計(jì)---十字路口的交通燈控制電路

  • 資源ID:26219678       資源大?。?span id="24d9guoke414" class="font-tahoma">646.69KB        全文頁(yè)數(shù):16頁(yè)
  • 資源格式: DOCX        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶(hù)名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢(xún)和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

單片機(jī)課程設(shè)計(jì)---十字路口的交通燈控制電路

單片機(jī)原理及應(yīng)用課程設(shè)計(jì)報(bào)告交通燈設(shè)計(jì)專(zhuān) 業(yè):班 級(jí):姓 名:學(xué) 號(hào):2013年5月1 .課程設(shè)計(jì)目的1.1 鞏固和加深對(duì)單片機(jī)原理和接口技術(shù)知識(shí)的理解;1. 2培養(yǎng)根據(jù)課題需要選學(xué)參考書(shū)籍、查閱手冊(cè)和文獻(xiàn)資料的能力;1.3 學(xué)會(huì)方案論證的比較方法,拓寬知識(shí),初步掌握工程設(shè)計(jì)的基本方法;1.4 掌握常用儀器、儀表的正確使用方法,學(xué)會(huì)軟、硬件的設(shè)計(jì)和調(diào)試方法;1.5 能按課程設(shè)計(jì)的要求編寫(xiě)課程設(shè)計(jì)報(bào)告,能正確反映設(shè)計(jì)和實(shí)驗(yàn)成果,能 用計(jì)算機(jī)繪制電路圖和流程圖。2 .課程設(shè)計(jì)要求1)設(shè)計(jì)一個(gè)十字路I1交通燈控制器。用單片機(jī)控制LED燈模擬指示。模擬東西方向 的十字路口交通信號(hào)控制情況。東西向通行時(shí)間為80s,南北向通行時(shí)間為60s,緩沖時(shí) 間為3so2)黃燈亮?xí)r,要求每秒閃亮一次。3)東西方向、南北方向車(chē)道除了有紅、黃、綠燈指示外,每一種燈亮的時(shí)間都用顯示器進(jìn) 行顯示(采用計(jì)時(shí)的方法)。4)當(dāng)有突發(fā)事情發(fā)生時(shí)(如有救護(hù)車(chē)通過(guò)時(shí)),可通過(guò)按鍵將各個(gè)路口設(shè)置成紅燈,只讓救 護(hù)車(chē)通過(guò)。3 .硬件設(shè)計(jì)3.1 設(shè)計(jì)思想單片機(jī)選型:AT89C523sz都引記J4mm 平個(gè)鴻平汩冶冶冶陪ZCd 02 部 貪& ua DSC os 3d zsf awrzd B Nluysdd ;d : ;dd:MddddldAT89C52是一個(gè)低電壓,高性能CMOS 8位單片機(jī),片內(nèi)含8k bytes的可反復(fù)擦寫(xiě)的Flash 只讀程序存儲(chǔ)器和256 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度、 非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ) 單元,AT89c52單片機(jī)在電子行業(yè)中有著廣泛的應(yīng)用。AT89c52具有以下標(biāo)準(zhǔn)功能:8k字 節(jié)Flash, 256字節(jié)RAM, 32位I/O 口線(xiàn),看門(mén)狗定時(shí)器,2個(gè)數(shù)據(jù)指針,三個(gè)16位 定時(shí)器/計(jì)數(shù)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振及時(shí)鐘電路,8位 微控制器8K字節(jié)在系統(tǒng)可編程Flash ROMoPO : P0 口是一個(gè)8位漏極開(kāi)路的雙向I/O I Io作為輸出口,每位能驅(qū)動(dòng)8個(gè)TTL 邏 輯電平。對(duì)P0端口寫(xiě)“1”時(shí),引腳用作高阻抗輸入端用。在訪(fǎng)問(wèn)外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí),P0 口被分時(shí)轉(zhuǎn)換地址(低8位)和數(shù)據(jù)總 線(xiàn)更用,在訪(fǎng)問(wèn)期間激活內(nèi)部上拉電阻。在Flash編程時(shí),PO II接收指令字節(jié),而在程序校驗(yàn)時(shí),輸出指令字節(jié),校驗(yàn)時(shí), 要求外接上拉電阻。P1 口: P1 口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O I I, P1輸出緩沖器能驅(qū)動(dòng) 4個(gè)TTL邏輯電平。對(duì)P1端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為 輸入口使用。作為輸入使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì) 輸出一個(gè)電流(IIL)。此外,P1.0和PL 2分別作定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入(PL0/T2)和時(shí)器/計(jì) 數(shù)器2的觸發(fā)輸入(P1.1/T2EX),具體如下表所示。在flash編程和校驗(yàn)時(shí),P1 口接 收低8位地址字節(jié)。P1端口引腳號(hào)第二功能:P1.0 T2 (定時(shí)器/計(jì)數(shù)器T2的外部計(jì)數(shù)輸入),時(shí)鐘輸出Pl. 1 T2EX (定時(shí)器/計(jì)數(shù)器T2的捕捉/重載觸發(fā)信號(hào)和方向控制)P2 口: P2是一個(gè)帶有內(nèi)部上拉電阻的8位雙向I/O D, P2的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4個(gè)TTL邏輯門(mén)電路。對(duì)端口 P2寫(xiě)“1”,通過(guò)內(nèi)部的上拉電阻把 端口拉到高電平,此時(shí)可作輸入口,作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引 腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流(IIL)。在訪(fǎng)問(wèn)外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行MOVXDPTR指令) 時(shí),P2 口送出高8位地址數(shù)據(jù)。在訪(fǎng)問(wèn)8位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行MOVXRI 指令)時(shí),P2 口輸出P2鎖存器的內(nèi)容。Flash編程或校驗(yàn)時(shí),P2亦接收高位地址和一些控制信號(hào)。P3 口: P3 口是一組帶有內(nèi)部上拉電阻的8位雙向I/O PL P3 口輸出緩沖級(jí)可驅(qū) 動(dòng)(吸收或輸出電流)4個(gè)TTL邏輯門(mén)電路。對(duì)P3 口寫(xiě)入“1”時(shí),它們被內(nèi)部上拉 電阻拉高并可作為輸入端口。此時(shí),被外部拉低的P3 門(mén)將用上拉電阻輸出電流(IIL)。P3 口還接收一些用于Flash閃速存儲(chǔ)器編程和程序校驗(yàn)的控制信號(hào)。P3 口除了作為一般的I/O 口線(xiàn)外,更重要的用途是它的第二功能。P3I亦作為AT89s52特殊功能(第二功能)使用,如下表所示。在flash編程和校驗(yàn)時(shí),P3 口也接收一些控制信號(hào)。P3端口引腳第二功能:P3. 0 RXD (串行輸入口)P3. 1 TXD (串行輸出口)P3. 2 INTO (外中斷 0)P3. 3 INT1(外中斷 1)P3.4 T0 (定時(shí)/計(jì)數(shù)器0)P3.5 T1 (定時(shí)/計(jì)數(shù)器1)P3.6 WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P3.7 RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)RST一一復(fù)位輸入。當(dāng)振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期以上高電平將是單 片機(jī)兔位。ALE/PROG一一當(dāng)訪(fǎng)問(wèn)外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ALE (地址鎖存允許)輸出脈 沖用于鎖存地址的低8位字節(jié)。一般情況下,ALE仍以時(shí)鐘振蕩頻率的1/6輸出固定的 脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目的。要注意的是:每當(dāng)訪(fǎng)問(wèn)外部數(shù)據(jù)存 儲(chǔ)器時(shí)將跳過(guò)一個(gè)ALE脈沖。對(duì)FLASH存儲(chǔ)器編程期間,該引腳還用于輸入編程脈沖 (PROG)。如有必要,可通過(guò)對(duì)特殊功能寄存器(SFR)區(qū)中的8EH單元的D0位置位,可禁止 ALE操作。該位置位后,只有一條MOVX和M0VC指令才能將ALE激活。此外,該引腳會(huì) 被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置ALE禁止位無(wú)效。PSEN一一程序儲(chǔ)存允許(PSEN)輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)AT89C52 由外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖, 在此期間,當(dāng)訪(fǎng)問(wèn)外部數(shù)據(jù)存儲(chǔ)器,將跳過(guò)兩次PSEN信號(hào)。EA/VPP外部訪(fǎng)問(wèn)允許,欲使CPU僅訪(fǎng)問(wèn)外部程序存儲(chǔ)器(地址為OOOOH-FFFFH), EA端必須保持低電平(接地)。需注意的是:如果加密位LB1被編程,更位時(shí)內(nèi)部會(huì)鎖 存EA端狀態(tài)。如EA端為高電平(接Vcc端),CPU則執(zhí)行內(nèi)部程序存儲(chǔ)器的指令。FLASH存儲(chǔ)器編程時(shí),該引腳加上+12V的編程允許電源Vpp,當(dāng)然這必須是該器件 是使用12V編程電壓Vpp。3. 2系統(tǒng)原理圖3. 3復(fù)位電路:上電+按鈕1uF <TEXT>3f<TEXT>RST1k<TEXT>29R2 30 1k A<TEXT>PSEN ALE EAP1.0P1.1P1.2。圖中,上電時(shí),接通電源,電容器C相當(dāng)于瞬間短路,+5V加到了 RST/VPD端,該高電 平使8051全機(jī)復(fù)位。若運(yùn)行過(guò)程中,需要程序從頭執(zhí)行,只需按動(dòng)按鈕開(kāi)關(guān),則直接把+5V加 到了 RST八TD端,從而復(fù)位.顯然,該電路即可以上電更位,也可以手動(dòng)復(fù)位,是常用復(fù)位電 路之一。si T8KXIPXZCC ,3.4數(shù)碼管顯示:7SEG-MPX2-CC5此處采用的數(shù)碼管時(shí)共陰極數(shù)碼管,G、F、E、D、C、B、A七個(gè)端口是輸入端口,對(duì)其各 位進(jìn)行賦值,置位則亮,復(fù)位則滅,用此來(lái)顯示出相應(yīng)的數(shù)字;1、2兩端II用來(lái)控制高位和低 位的選通顯示,只要對(duì)端II置位,便可以選擇該端口,并對(duì)其所代表的位進(jìn)行賦值,顯示。3. 5發(fā)光二極管顯示每個(gè)路I I都采用了三個(gè)LED燈來(lái)顯示,分別代表了紅黃綠三種燈,來(lái)模擬路II交通燈的變換。3 . 6鍵盤(pán):獨(dú)立鍵盤(pán)+中斷采用開(kāi)關(guān)來(lái)控制進(jìn)入中斷,可以在路口出現(xiàn)緊急情況時(shí),拉動(dòng)開(kāi)關(guān),來(lái)使得紅綠燈發(fā)生相 應(yīng)的改變,己處理緊急情況下的車(chē)輛通行。4 .軟件設(shè)計(jì)4. 1總體流程圖4.2緊急中斷子程序流程圖4. 3循環(huán)流程控制思路:5. 4源程序#include<reg5 2.h>#include<mtnns.h>#define unit unsigned mt#define uchar unsigned char uchar temp.aa.mi;sbit rn=P2A0;sbit nb=P2Al;sbit gn=P2A2;sbit rw=P2 八3;sbit dx=P2A4;sbit gw=P2 八 5;sbit shi=P3A6;sbit ge=P3八7;/*對(duì)要使用的單片機(jī)各個(gè)口進(jìn)行定義*/bit flag=O;uchar code table=0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71);/*將數(shù)字0123456789的16進(jìn)制數(shù)定義成數(shù)組用以顯示*/void delay(umt z);void disp(uint n);void nb_flash(void);void dx_flash(void);void xintOQ;void tiinerO();void LED_ONQ;void xintlQ;void LED_OFF();void nanbei();void dongxiO;/*將所使用的子函數(shù)定義*/void main。 (umt num;num=0;aa=0;TMOD=0x01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;ET0=l;TR0=l;EX0=l;IT0=0;EX1=1;IT1=1;/*中斷初始化*/10naiibeiQ;nb_flash();dongxiQ; dx_flash();)void delay(umt z)umt x,y;fbr(x=z;x>0;x-)for(y=U0;y>0;y-);)/*主函數(shù)中顯示部分*/*延時(shí)子函數(shù)*/void tiiiierO() interrupt 1TH0=(65536-50000)/256;TL0=(65536-50000)%256;aa+;if(aa=18)aa=0;flag=l;)void disp(uint n)while(lflag)ge=0;P0=tablen%10;delay(50);shi=l;ge=l;slii=0;PO=tableivlO;delay(50);shi=l;ge=l;if(flag=l) flag=O;)void nanbei()/*顯示子函數(shù)*/*南北方向的紅綠燈和數(shù)碼管顯示子函數(shù)*/ uiiit num;num=60;disp(num);wlule(num<=60&&num>0) num"P2=0xde;disp(num); )void dongxi()/*東西方向的紅綠燈和數(shù)碼管顯示子函數(shù)*/ uiiit num;num=80;wlule(num<=80&&num>0) P2=Oxf3;disp(num);num-; )void nb_flash(void)/*南北方向的黃燈和數(shù)碼管顯示子函數(shù)*/(uint a;fbr(a=3;a>O;a") P2=Oxdd;nb=l;delay(lOO);nb=O;disp(a);)void dx_flash(void)/*東西方向的黃燈和數(shù)碼管顯示子函數(shù)*/(uint a;fbr(a=3;a>O;a") P2=0xeb;dx=l;delay(100);dx=0:disp(a);) )void xintOQ mtenupt 2/* 中斷定義*/TH0=(65536-50000)/256;TL0=(65536-50000)%256;LED.ONQ;產(chǎn)開(kāi)關(guān)控制燈的子函數(shù)*/void LED_ON() uiiit b;rn=0;rw=0; gn=l; gw=l; nb=l; dx=l;fbr(b=5;b>O;b) ( ge=O;shi=l; PO=tableb;delay(lOOO); ge=l;shi=l; xeturn;5 .調(diào)試運(yùn)A5.1 正常運(yùn)行狀態(tài)5.2中斷運(yùn)行狀態(tài)5.3黃燈閃爍狀態(tài)6 .設(shè)計(jì)心得體會(huì)課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的重 要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程.隨著科學(xué)技術(shù)發(fā)展的口新口異,單片機(jī)已 經(jīng)成為當(dāng)今計(jì)算機(jī)應(yīng)用中空前活躍的領(lǐng)域,在生活中可以說(shuō)得是無(wú)處不在。因此作為二十一世 紀(jì)的大學(xué)來(lái)說(shuō)掌握單片機(jī)的開(kāi)發(fā)技術(shù)是十分重要的。這次的單片機(jī)課程設(shè)計(jì)大概用了一周的時(shí)間,在這一周的時(shí)間當(dāng)中,雖然開(kāi)始遇到了很多 的困難,但是由于合理地進(jìn)行設(shè)計(jì)安排,分工合作,并各自查閱了相關(guān)的資料,最后在大家共 同努力下我們順利地完成了本次單片機(jī)課程設(shè)計(jì),同時(shí)也學(xué)到了很多東西?;仡櫰鸫舜螁纹瑱C(jī)課程設(shè)計(jì),至今我仍感慨頗多,的確,從選題到定稿,從理論到實(shí)踐, 在整整一星期的口子里,可以說(shuō)得是苦多于甜,但是可以學(xué)到很多很多的的東西,同時(shí)不僅可 以鞏固了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書(shū)本上所沒(méi)有學(xué)到過(guò)的知識(shí)。例如我們?cè)谠O(shè)計(jì) 數(shù)碼管顯示的時(shí)候,剛開(kāi)始的時(shí)候,由于對(duì)數(shù)碼管引腳的不熟悉,我們都無(wú)法讓數(shù)字顯示,后 來(lái)發(fā)現(xiàn)時(shí)十位和個(gè)位的置位、兔位發(fā)生了問(wèn)題,我們對(duì)此進(jìn)行了修改,可以正確的顯示出十位 及個(gè)位的數(shù)值,但是數(shù)字的顯示不穩(wěn)定,不停的跳動(dòng),我們?yōu)榇瞬殚喠撕芏嗟馁Y料,但還是未 能解決,最后我們不停地對(duì)程序進(jìn)行修改,終于發(fā)現(xiàn)了問(wèn)題所在,在顯示子程序上存在缺陷未 能快速的切換對(duì)十位、個(gè)位的置位和復(fù)位,經(jīng)過(guò)這樣的修改,終于可以完美的顯示時(shí)間了。我 們也更好地掌握了對(duì)數(shù)碼管的使用,這都是課堂上學(xué)不到的知識(shí)。這次的單片機(jī)課程設(shè)計(jì)是通過(guò)實(shí)踐操作和理論相結(jié)合,提高動(dòng)手實(shí)踐能力,提高科學(xué)的思 維能力。與此同時(shí),我們也對(duì)分工合作有了進(jìn)一步的認(rèn)識(shí),只有通過(guò)合理的分工合作,我們們 才能夠在短短一周的時(shí)間內(nèi)完成設(shè)計(jì)任務(wù),相信這對(duì)以后在社會(huì)上工作和學(xué)習(xí)會(huì)有很多幫助, 讓我們能更好的進(jìn)入工作狀態(tài)。最重要的是,這次課程設(shè)計(jì)也增加了我們對(duì)問(wèn)題的研究和探討, 們以后的學(xué)習(xí)中會(huì)有更多的幫助。單片機(jī)為我們的主要專(zhuān)業(yè)課之一,對(duì)我們還是很有幫助的,課程設(shè)計(jì)也是為我們以后的工 作提前進(jìn)行了一次練手,也是我們學(xué)習(xí)生活之中少有的一次自己探索、研究,發(fā)現(xiàn)問(wèn)題、解決 問(wèn)題的機(jī)會(huì)。當(dāng)然要做好一個(gè)課程設(shè)計(jì)也不是很簡(jiǎn)單的,我認(rèn)為有以下幾步:(1)在設(shè)計(jì)程序之前,對(duì)所用單片機(jī)的內(nèi)部結(jié)構(gòu)有一個(gè)系統(tǒng)的了解,知道該單片機(jī)內(nèi)有哪 些資源;(2)要有一個(gè)清晰的思路和一個(gè)完整的的軟件流程圖,善于將大的程序段縮小為每個(gè)子函 數(shù)來(lái)實(shí)現(xiàn);(3)在設(shè)計(jì)程序時(shí),不能妄想一次就將整個(gè)程序設(shè)計(jì)好,反復(fù)修改、不斷改進(jìn)是程序設(shè)計(jì) 的必經(jīng)之路;(4)要養(yǎng)成注釋程序的好習(xí)慣,寫(xiě)程序是應(yīng)該思路清晰,結(jié)構(gòu)明了,應(yīng)該讓人一看就能明 白你的思路,方便資料的保存和交流;(5)我們們應(yīng)該將每次遇到的問(wèn)題記錄下來(lái),并分析清楚,以免下次再碰到同樣的問(wèn)題 的;在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不 夠牢固。我們們通過(guò)杳閱大量有關(guān)資料,并在小組中互相討論,交流經(jīng)驗(yàn)和自學(xué),若遇到實(shí)在 搞不明白的問(wèn)題就會(huì)及時(shí)請(qǐng)教老師,或者與其他小組成員討論,共同解決,使自己學(xué)到了不少 知識(shí),也經(jīng)歷了不少艱辛,但收獲同樣巨大。通過(guò)這次課程設(shè)計(jì)我們也發(fā)現(xiàn)了自身存在的不足 之處,雖然感覺(jué)理論上已經(jīng)掌握,但在運(yùn)用到實(shí)踐的過(guò)程中仍有意想不到的困惑,經(jīng)過(guò)一番努 力才得以解決。通過(guò)這次課程設(shè)計(jì)使我更加懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的, 只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正將一個(gè)東西學(xué)好學(xué)透, 從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。我想這對(duì)于我今后的學(xué)習(xí)生活和工作都會(huì)是 有很大幫助的!7 .參考書(shū)目11 .肖金球.單片機(jī)原理與接口技術(shù);【2】.江志紅.51單片機(jī)技術(shù)與應(yīng)用系統(tǒng)開(kāi)發(fā)案例精選;3 .周潤(rùn)景.基于PROTEUS的51單片機(jī)設(shè)計(jì)與仿真;【4】.王守中.51單片機(jī)開(kāi)發(fā)入門(mén)與典型實(shí)例;5 .張齊.朱寧西.單片機(jī)系統(tǒng)設(shè)計(jì)與開(kāi)發(fā);【6】.周立功單片機(jī)開(kāi)發(fā)網(wǎng);【7】.天津銳志單片機(jī)開(kāi)發(fā)網(wǎng);8 . ATMEL公司AT89S52單片機(jī)中文PDF資料;

注意事項(xiàng)

本文(單片機(jī)課程設(shè)計(jì)---十字路口的交通燈控制電路)為本站會(huì)員(飛***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話(huà):18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!