九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字電子技術(shù)試題庫及答案 期末考試秘籍.doc

  • 資源ID:28068015       資源大?。?span id="24d9guoke414" class="font-tahoma">2.67MB        全文頁數(shù):23頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)字電子技術(shù)試題庫及答案 期末考試秘籍.doc

數(shù)字電子技術(shù) 期末試題庫一、 選擇題: A組:1.如果采用偶校驗方式,下列接收端收到的校驗碼中,( A )是不正確的A、00100B、10100C、11011D、11110、某一邏輯函數(shù)真值表確定后,下面描述該函數(shù)功能的方法中,具有唯一性的是(B)A、邏輯函數(shù)的最簡與或式B、邏輯函數(shù)的最小項之和C、邏輯函數(shù)的最簡或與式D、邏輯函數(shù)的最大項之和、在下列邏輯電路中,不是組合邏輯電路的是(D)A、譯碼器B、編碼器C、全加器D、寄存器、下列觸發(fā)器中沒有約束條件的是(D)A、基本RS觸發(fā)器B、主從RS觸發(fā)器C、同步RS觸發(fā)器D、邊沿D觸發(fā)器、555定時器不可以組成 D 。A.多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.施密特觸發(fā)器 D.JK觸發(fā)器、編碼器(A)優(yōu)先編碼功能,因而(C)多個輸入端同時為。A、有B、無C、允許D、不允許、(D)觸發(fā)器可以構(gòu)成移位寄存器。A、基本RS觸發(fā)器B、主從RS觸發(fā)器C、同步RS觸發(fā)器D、邊沿D觸發(fā)器、速度最快的A/D轉(zhuǎn)換器是(A)電路A、并行比較型B、串行比較型C、并串行比較型D、逐次比較型9、某觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如圖所示,該觸發(fā)器應(yīng)是( C ) A. J-K觸發(fā)器 B. R-S觸發(fā)器 C. D觸發(fā)器 D. T觸發(fā)器10.(電子專業(yè)作)對于VHDL以下幾種說法錯誤的是(A )A VHDL程序中是區(qū)分大小寫的。B 一個完整的VHDL程序總是由庫說明部分、實體和結(jié)構(gòu)體等三部分構(gòu)成C VHDL程序中的實體部分是對元件和外部電路之間的接口進行的描述,可以看成是定義元件的引腳D 結(jié)構(gòu)體是描述元件內(nèi)部的結(jié)構(gòu)和邏輯功能B組:1、微型計算機和數(shù)字電子設(shè)備中最常采用的數(shù)制是-( A ) A.二進制 B.八進制 C. 十進制 D.十六進制2、十進制數(shù)6在8421BCD碼中表示為-( B ) A.0101 B.0110 C. 0111 D. 10003、在圖1所示電路中,使的電路是-( A )A. B. C. D. 4、接通電源電壓就能輸出矩形脈沖的電路是-( D )A. 單穩(wěn)態(tài)觸發(fā)器 B. 施密特觸發(fā)器 C. D觸發(fā)器 D. 多諧振蕩器5、多諧振蕩器有-( C )A. 兩個穩(wěn)態(tài) B. 一個穩(wěn)態(tài) C. 沒有穩(wěn)態(tài) D. 不能確定6、已知輸入A、B和輸出Y的波形如下圖所示,則對應(yīng)的邏輯門電路是-( D )A. 與門 B. 與非門 C. 或非門 D. 異或門 7、下列電路中屬于時序邏輯電路的是-( B )A. 編碼器 B. 計數(shù)器 C. 譯碼器 D. 數(shù)據(jù)選擇器8、在某些情況下,使組合邏輯電路產(chǎn)生了競爭與冒險,這是由于信號的-( A )A. 延遲 B. 超前 C. 突變 D. 放大9、下列哪種觸發(fā)器可以方便地將所加數(shù)據(jù)存入觸發(fā)器,適用于數(shù)據(jù)存儲類型的時序電路-( C )A. RS觸發(fā)器 B. JK觸發(fā)器 C. D觸發(fā)器 D. T觸發(fā)器10、電路和波形如下圖,正確輸出的波形是-( A )A. B. C. D. C組:1十進制數(shù)25用8421BCD碼表示為 A 。A.11001 B.0010 0101 C.100101 D.100012. 當(dāng)邏輯函數(shù)有n個變量時,共有 D 個變量取值組合? A. n B. 2n C. n2 D. 2n3在何種輸入情況下,“與非”運算的結(jié)果是邏輯0。 D A全部輸入是0 B.任一輸入是0 C.僅一輸入是0 D.全部輸入是14存儲8位二進制信息要 D 個觸發(fā)器。A.2 B.3 C.4 D.85欲使JK觸發(fā)器按Qn+1=n工作,可使JK觸發(fā)器的輸入端 A 。A.J=K=1 B.J=0,K=1 C.J=0,K=0 D.J=1,K=0 6多諧振蕩器可產(chǎn)生 B 。A.正弦波 B.矩形脈沖 C.三角波 D.鋸齒波7在下列邏輯電路中,不是組合邏輯電路的是 A 。A.譯碼器 B.編碼器 C.全加器 D.寄存器8八路數(shù)據(jù)分配器,其地址輸入端有 B 個。A.2 B.3 C.4 D.898位移位寄存器,串行輸入時經(jīng) D 個脈沖后,8位數(shù)碼全部移入寄存器中。A.1 B.2 C.4 D.810一個無符號8位數(shù)字量輸入的DAC,其分辨率為 D 位。A.1 B.3 C.4 D.8D組:1、下列四個數(shù)中,最大的數(shù)是(B) A、(AF)16B、(001010000010)8421BCD C、(10100000)2D、(198)102、下列關(guān)于異或運算的式子中,不正確的是(B) A、AA=0B、 C、A0=AD、A1=3、下列門電路屬于雙極型的是(A) A、OC門B、PMOS C、NMOSD、CMOS4、對于鐘控RS觸發(fā)器,若要求其輸出“0”狀態(tài)不變,則輸入的RS信號應(yīng)為( A) A、RS=X0B、RS=0X C、RS=X1D、RS=1X5、如圖所示的電路,輸出F的狀態(tài)是( D )A、AB、AC、1D、0 6、AB+A 在四變量卡諾圖中有( B )個小格是“1”。A、13B、12 C、6D、5 7、二輸入與非門當(dāng)輸入變化為( A )時,輸出可能有競爭冒險。A. 0110 B. 0010 C. 1011 D. 11018、N個觸發(fā)器可以構(gòu)成能寄存( B )位二進制數(shù)碼的寄存器。 A.N-1 B.N C.N+1 D.2N9、以下各電路中,( B )可以產(chǎn)生脈沖定時。 A. 多諧振蕩器 B.單穩(wěn)態(tài)觸發(fā)器 C.施密特觸發(fā)器 D.石英晶體多諧振蕩器10、輸入至少( B )位數(shù)字量的D/A轉(zhuǎn)換器分辨率可達千分之一。A. 9 B. 10 C. 11 D. 12E組:1、下列編碼中,屬可靠性編碼的是_。A格雷碼 B. 余3碼 C. 8421BCD碼 D. 2421BCD碼2、下列電路中,不屬于時序邏輯電路的是_。A計數(shù)器 B加法器 C寄存器 DM序列信號發(fā)生器3、下列函數(shù)Y=F(A,B,C,D)中,是最小項表達式形式的是_。 AY=A+BC BY=ABCD+AC C D4、要實現(xiàn),JK觸發(fā)器的J、K取值應(yīng)為_。AJ=0,K=0 BJ=0,K=1 CJ=1,K=0 DJ=1,K=15、用555定時器組成施密特觸發(fā)器,外接電源VCC=12V電壓,輸入控制端CO外接10V電壓時,回差電壓為_。A. 4V B. 5V C. 8V D. 10V 二、 判斷題:A組:1、MP音樂播放器含有D/A轉(zhuǎn)換器,因為要將存儲器中的數(shù)字信號轉(zhuǎn)換成優(yōu)美動聽的模擬信號音樂。()2、真值表、函數(shù)式、邏輯圖、卡諾圖和時序圖,它們各具有特點又相互關(guān)聯(lián)。()3、有冒險必然存在競爭,有競爭就一定引起冒險。()4、時序邏輯電路的特點是:電路任一時刻的輸出狀態(tài)與同一時刻的輸入信號有關(guān),與原有狀態(tài)沒有任何的聯(lián)系()5、(電子專業(yè)作)FPGA是現(xiàn)場可編程門陣列,屬于低密度可編程器件。()B組:1、時序電路無記憶功能,組合邏輯電路有記憶功能。-( )2、在普通編碼器中,任何時刻都只允許輸入二個編碼信號,否則輸出將發(fā)生混亂。( )3、基本的RS觸發(fā)器是由二個與非門組成。-( )4、A/D轉(zhuǎn)換器是將數(shù)字量轉(zhuǎn)換為模擬量。-( )5、邏輯電路如下圖所示,只有當(dāng)A=0,B=0時Y=0才成立。-( )C組:1若兩個函數(shù)具有不同的邏輯函數(shù)式,則兩個邏輯函數(shù)必然不相等。( )2三態(tài)門的三種狀態(tài)分別為:高電平、低電平、不高不低的電壓。( )3.D觸發(fā)器的特性方程為Qn+1=D,與Qn無關(guān),所以它沒有記憶功能。( )4.編碼與譯碼是互逆的過程。( )5.同步時序電路具有統(tǒng)一的時鐘CP控制。( )D組:1、時序邏輯電路在某一時刻的輸出狀態(tài)與該時刻之前的輸入信號無關(guān)。( )2、D觸發(fā)器的特性方程為Qn+1=D,與Qn無關(guān),所以它沒有記憶功能。( )3、用數(shù)據(jù)選擇器可實現(xiàn)時序邏輯電路。( )4、16位輸入的二進制編碼器,其輸出端有4位。()5、時序電路不含有記憶功能的器件。( )三、 填空題:A組:、 數(shù)字電路按照是否有記憶功能通常可分為兩類: 組合邏輯電路、 時序邏輯電路 。、 三態(tài)門的三種狀態(tài)是指_0_、_1_、_高阻_。、 實現(xiàn)A/D轉(zhuǎn)換的四個主要步驟是_采樣_、_保持_、_量化_、_編碼_。、 將十進制轉(zhuǎn)換為二進制數(shù)、八進制數(shù)、十六進制數(shù):(25.6875( 5、寄存器分為_基本寄存器_和_移位寄存器_兩種。6、半導(dǎo)體數(shù)碼顯示器的內(nèi)部接法有兩種形式:共 陽極 接法和共 陰極 接法。7、與下圖真值表相對應(yīng)的邏輯門應(yīng)是_與門_輸入 A B輸出 F 0 00 0 10 1 00 1 118、已知L=A+C,則L的反函數(shù)為=_。9、基本RS觸發(fā)器,若現(xiàn)態(tài)為1,SR,則觸發(fā)狀態(tài)應(yīng)為_1_。10、(電子專業(yè)選作)ROM的存儲容量為1K8,則地址碼為_10_位,數(shù)據(jù)線為_8_位。B組:1、請將下列各數(shù)按從大到小的順序依次排列:(246)8;(165)10;(10100111)2;(A4)16 (10100111)2> (246)8 > (165)10 > (A4)16 2、邏輯函數(shù)有三種表達式: 邏輯表達式 、 真值表 、 卡諾圖 。3、TTL邏輯門電路的典型高電平值是 3.6 V,典型低電平值是 0.3 V。4、數(shù)據(jù)選擇器是一種 多個 輸入 單個 輸出的中等規(guī)模器件。5、OC門能實現(xiàn)“ 線與 ”邏輯運算的電路連接,采用總線結(jié)構(gòu),分時傳輸數(shù)據(jù)時,應(yīng)選用 三態(tài)門 。6、邏輯表達式為 ,它存在 0 冒險。7、時序邏輯電路在某一時刻的狀態(tài)不僅取決于 這一時刻 的輸入狀態(tài),還與電路 過去的 狀態(tài)有關(guān)。8、觸發(fā)器按邏輯功能可以分為 RS 、D 、JK 、T 四種觸發(fā)器。9、雙穩(wěn)態(tài)觸發(fā)器電路具有 兩個穩(wěn)態(tài) , 并能 觸發(fā)翻轉(zhuǎn) 的兩大特性。10、模數(shù)轉(zhuǎn)換電路包括 采樣 、 保持 、 量化 和編碼 四個過程。C組:1、二進制(1110.101)2轉(zhuǎn)換為十進制數(shù)為_14.625_。2、十六進制數(shù)(BE.6)16轉(zhuǎn)換為二進制數(shù)為_(10111110.011)2_。3、F=BCD+AC+AB+ABC=m(_7,10,11,12,13,14,15_)。4、F=AC+D的最小項表達式為_m (1,3,9,10,11,14,15)_。5一個基本RS觸發(fā)器在正常工作時,它的約束條件是+=1,則它不允許輸入= 0 且= 0 的信號。6555定時器的最后數(shù)碼為555的是 TTL 產(chǎn)品,為7555的是CMOS 產(chǎn)品。7、TTL與非門的多余輸入端懸空時,相當(dāng)于輸入_高_電平。8數(shù)字電路按照是否有記憶功能通??煞譃閮深悾?組合邏輯電路 、時序邏輯電路 。9對于共陽接法的發(fā)光二極管數(shù)碼顯示器,應(yīng)采用 低 電平驅(qū)動的七段顯示譯碼器。10、F=AB+的對偶函數(shù)是_ F=(A+B)_。D組:1、將(234)8按權(quán)展開為 282+381+480 。2、(10110010.1011)2=( 262.54 )8=( B2.B )163、邏輯函數(shù)F=+B+D的反函數(shù)= A(C+) 。 4、邏輯函數(shù)通常有 真值表 、 代數(shù)表達式 、 卡諾圖 等描述形式。5、施密特觸發(fā)器具有 回差 現(xiàn)象,又稱 電壓滯后 特性。6、在數(shù)字電路中,按邏輯功能的不同,可以分為 邏輯電路 和 時序電路 。7、消除冒險現(xiàn)象的方法有 修改邏輯設(shè)計 、 吸收法 、 取樣法 和 選擇可靠編碼 。 8、觸發(fā)器有 2 個穩(wěn)態(tài),存儲8位二進制信息要 8 個觸發(fā)器。9、邏輯代數(shù)運算的優(yōu)先順序為 非 、 與 、 或 。10、寄存器按照功能不同可分為兩類: 移位 寄存器和 數(shù)碼 寄存器。E組:1、數(shù)字信號的特點是在 上和 上都是不連續(xù)變化的,其高電平和低電平常用 和 來表示。2、請將下列各數(shù)按從大到小的順序依次排列:(123)8;(82)10;(1010100)2;(51)16: > > > ,以上四個數(shù)中最小數(shù)的8421BCD碼為( )8421BCD 。3、除去高、低電平兩種輸出狀態(tài)外,三態(tài)門的第三態(tài)輸出稱為 狀態(tài)。4、在555定時器組成的脈沖電路中,脈沖產(chǎn)生電路有 ,脈沖整形電路有 、 ,其中 屬于雙穩(wěn)態(tài)電路。5、存儲容量為4K8的SRAM,有 根地址線,有 根數(shù)據(jù)線,用其擴展成容量為16K16的SRAM需要 片。6、實現(xiàn)A/D轉(zhuǎn)換的四個主要步驟是_ _、_ _、_ _和編碼。四、 綜合題A組:1、用代數(shù)法化簡: 解: 2、 卡諾圖化簡: 解: 3、電路如下圖所示,已知輸入波形,試寫出Y的邏輯表達式并畫出輸出波形。解:4、跟據(jù)給定的波形,畫出電路的輸出。解:5、用8選1數(shù)據(jù)選擇器74LS151實現(xiàn)函數(shù)。解:(1)將輸入變量C、B、A作為8選1數(shù)據(jù)選擇器的地址碼A2、A1、A0。(2)使8選1數(shù)據(jù)選擇器的各數(shù)據(jù)輸入D0D7分別與函數(shù)F的輸出值一一相對應(yīng)。 即:A2A1A0CBA, D0D70 D1D2D3D4D5D61 則8選1數(shù)據(jù)選擇器的輸出Q便實現(xiàn)了函數(shù)。6、分析下圖所示的時序邏輯電路,設(shè)觸發(fā)器的初態(tài)為Q1=Q0=0,試:(1) 寫出輸出方程,驅(qū)動方程,狀態(tài)方程;(2) 列出狀態(tài)轉(zhuǎn)換真值表;、(3) 畫出時序圖;(4) 分析電路的邏輯功能。解:1. 寫出各邏輯方程:驅(qū)動方程: J0=K0=1 J1=K1=將驅(qū)動方程代入JK觸發(fā)器的特性方程,得:次態(tài)方程: 輸出方程: 2. 列出狀態(tài)表如表所示。 表解6.2SX01Q1nQ0nQ1n+1Q0n+1ZQ1n+1Q0n+1Z001101010110101000011001101000013. 畫出狀態(tài)圖及波形圖如圖解所示。 (a) (b) 4. 邏輯功能分析由狀態(tài)圖可以很清楚地看出電路狀態(tài)轉(zhuǎn)換規(guī)律及相應(yīng)輸入、輸出關(guān)系:該電路一共有4個狀態(tài)00、01、10、11。當(dāng)X=0時,按照加1規(guī)律從0001101100循環(huán)變化,并每當(dāng)轉(zhuǎn)換為11狀態(tài)(最大數(shù))時,輸出Z=1。當(dāng)X=1時,按照減1規(guī)律從1110010011循環(huán)變化。所以該電路是一個可控的四進制計數(shù)器,其中Z是進位信號輸出端。B組:1、用公式法化簡下列邏輯表達式(1)(A+B)(+) (2)A+B+C+ =B+A =12、用卡諾圖化簡下列邏輯表達式(1) F(A,B,C,D)=m(0,1,2,3,5,7)F= + D3、試畫出Q端波形,設(shè)初始J=1,Q=0,懸空表示接高電平 4、用74LS138和門電路實現(xiàn)函數(shù)F= A+B,并畫出邏輯電路圖。 F=5、試設(shè)計一個滿足下圖功能的組合邏輯電路 1.真值表A B CL 0 0 00 0 0 10 0 1 00 0 1 11 1 0 00 1 0 11 1 1 00 1 1 11 L=AC+BC 6、分析下圖時序電路(設(shè)初始狀態(tài)為0) 1、列出時鐘方程和驅(qū)動方程2、列出狀態(tài)方程3、列出狀態(tài)表4、畫出狀態(tài)圖5、描述電路功能解:1、時鐘方程:CP1=CP2=CP3=CP驅(qū)動方程為: 2、D觸發(fā)器的特性方程為:Qn+1=D狀態(tài)方程為: 3、狀態(tài)表 0 0 01 0 01 0 01 1 01 1 01 1 11 1 10 1 10 1 10 0 10 0 10 0 00 1 01 0 11 0 10 1 04、狀態(tài)圖 (a) (b)5、功能:同步六進制計數(shù)器,電路不能自啟動。 C組:1、用代數(shù)法化簡:F(A,B,C,D) = m(1,2,6,7,8,10,13,14,15)參考答案:F=B C D+CD+BC+ABD(或ACD)+A B D(或A B C)2、用卡若圖化簡:F(A,B,C,D) = m(2,3,4,5,8,9,14,15)參考答案:F=A B C+A B C+ ABC+A B C3.分析下圖邏輯電路圖的功能參考答案:Y=A+B+C由真值表可以看出,只有當(dāng)A,B,C三個變量全部相等的時候,輸出是1,其余輸出是0,這實際上是一個同比較器,即輸入變量不等時,輸出是1,否則輸出是04. 試分析圖示時序電路,列出它的狀態(tài)轉(zhuǎn)換真值表,畫出狀態(tài)轉(zhuǎn)換圖及相應(yīng)的輸出波形Q1及Q2,并說明電路的功能5.用74LS138和門電路實現(xiàn)下面多輸出函數(shù),畫出邏輯電路圖。6. 用下降沿觸發(fā)的JK觸發(fā)器,設(shè)計一個按自然序進行計數(shù)的同步七進制加法計數(shù)器。參看教材119頁13題7.(電子專業(yè)選作)試用ROM實現(xiàn)下列函數(shù)參考答案:D組:1、利用公式進行化簡(10)F=AD+A+AB+C+BD+ACEF+EF+DEFG解: F=AD+A+AB+C+BD+ACEF+EF+DEFG =A+ AB+C+BD+ACEF+EF+DEFG =A+C+BD+EF+DEFG =A+C+ BD+EF+DEFG = A+C+ BD+EF2、利用卡諾圖進行化簡(10)解:=+AC+A+CABCD00011110001101011100110011101011F=+ AC+3、用普通機械開關(guān)轉(zhuǎn)接電平信號時,在觸點接觸瞬間常因接觸不良而出現(xiàn)“顫抖”現(xiàn)象,如圖 (a)所示。為此,常采用圖 (b)所示防抖動開關(guān)電路。試畫出波形Q和,并從中體會防抖動原理。(10)解: 電路的輸出波形Q和如圖所示。4、試寫出圖示電路的表達式,并畫出相應(yīng)的輸出波形。(10)ABCF解: (1)按照題意,寫出電路的邏輯表達式: (2)將波形圖按照要求寫出真值表A B CY0 0 11 0 11 1 10 1 10 0 11 0 11 0 00 0 00 1 01 1 00 1 00 0 0000100101111(3)畫出波形圖如下:ABCF5、分析如圖所示電路,說明電路實現(xiàn)的邏輯功能。(15)解:(1)根據(jù)邏輯電路圖寫出各個輸出端Y1、Y2、Y3的邏輯表達式:,(2)將邏輯表達式進行化簡,得: > (3)根據(jù)表達式列出真值表:A B CY0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 100010111(4)由真值表判斷電路的邏輯功能為:當(dāng)輸入A、B、C中有2個或3個為1時,輸出Y為1,否則輸出Y為0。所以這個電路實際上是一種3人表決用的組合電路:只要有2票或3票同意,表決就通過。E組:將函數(shù)化簡為最簡與或式1、代數(shù)法化簡: 2、卡諾圖化簡:F(A,B,C,D)=m(0,2, 5,7,8,10,11,13)+d(3,14,15)F=&1&&&1&11ABCF1AF2F3F4F6Y2Y1F5分析下圖所示電路的邏輯功能(寫出表達式,列真值表,描述功能)。由邊沿觸發(fā)器構(gòu)成如下電路,分析觸發(fā)器F1和F2驅(qū)動方程和時鐘方程,說明兩個觸發(fā)器工作原理,試畫出圖示輸入信號作用下Q1Q2的輸出波形,設(shè)初態(tài)Q1Q211。 觸發(fā)器F1 觸發(fā)器F2Q1Q1QQ觸發(fā)器F1:觸發(fā)器F2:ABDQ1Q2RD用74LS138譯碼器芯片實現(xiàn)函數(shù),寫出最小項表達式,畫出74LS138實現(xiàn)的電路圖。74LS138計數(shù)器設(shè)計(1)以74LS290為核心,添加適當(dāng)?shù)拈T,實現(xiàn)N=6計數(shù)器,要求列出有效計數(shù)狀態(tài)。計數(shù)狀態(tài)表NQ3 Q2 Q1 Q074LS290功能表(2)以74LS163為核心,添加適當(dāng)?shù)拈T,實現(xiàn)12歸1計數(shù)器(要求用2位8421BCD碼表示計數(shù)狀態(tài)),并簡要分析計數(shù)器從910以及121實現(xiàn)原理。74LS163功能表CPET EPQ01 010 置數(shù)111 1計數(shù)計數(shù)器狀態(tài) 910:計數(shù)器狀態(tài)123:個位十位圖(a)由555定時器組成的多諧振蕩電路,圖(b)是555的內(nèi)部結(jié)構(gòu)圖,已知R1R25K,C1000pF。0VOt0VCt2/3Vcc1/3Vcc圖b圖a要求: 畫出Vo和Vc的波形。8分111111111111111123123333333333333333333333333333333333333333333323

注意事項

本文(數(shù)字電子技術(shù)試題庫及答案 期末考試秘籍.doc)為本站會員(仙***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!