九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計(jì)說(shuō)明書(shū)多功能數(shù)字鐘

  • 資源ID:28200376       資源大小:322KB        全文頁(yè)數(shù):23頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶(hù)名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢(xún)和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計(jì)說(shuō)明書(shū)多功能數(shù)字鐘

武漢理工大學(xué)數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)說(shuō)明書(shū)目 錄摘要 IAbstract 1 數(shù)字鐘的構(gòu)成 11.1方案設(shè)計(jì) 2 1.1.1總方案設(shè)計(jì) 2 1.1.2部分電路方案設(shè)計(jì) 22 數(shù)字鐘單元電路的設(shè)計(jì) 42.1 振蕩器電路設(shè)計(jì)4 2.2 時(shí)間計(jì)數(shù)單元設(shè)計(jì)42.2.1 集成異步計(jì)數(shù)器74LS9052.2.2 用74LS90構(gòu)成秒和分計(jì)數(shù)器電路 62.2.3 用74LS90構(gòu)成時(shí)計(jì)數(shù)器電路 72.2.4 時(shí)間計(jì)數(shù)單元總電路 82.3 譯碼顯示單元電路設(shè)計(jì) 92.3.1 譯碼器74LS48 92.3.2 顯示器LG5011AH112.3.3 譯碼顯示電路122.4 校時(shí)單元電路設(shè)計(jì)13 2.5 報(bào)時(shí)電路設(shè)計(jì)13 2.6 鬧鐘電路設(shè)計(jì)153 數(shù)字鐘的實(shí)現(xiàn)電路及其工作原理164仿真結(jié)果175 電路的安裝與調(diào)試196課程設(shè)計(jì)心得與體會(huì) 19參考文獻(xiàn) 20附錄1 211 數(shù)字鐘的構(gòu)成 數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。主要由振蕩器、分頻器、計(jì)數(shù)器、譯碼器顯示器和校時(shí)電路組成。振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號(hào),作為數(shù)字鐘的時(shí)間基準(zhǔn),通常使用石英晶體震蕩器,然后經(jīng)過(guò)分頻器輸出標(biāo)準(zhǔn)秒脈沖,或者由555構(gòu)成的多諧振蕩器來(lái)直接產(chǎn)生1HZ的脈沖信號(hào)。秒計(jì)數(shù)器滿(mǎn)60后向分計(jì)數(shù)器進(jìn)位,分計(jì)數(shù)器滿(mǎn)60后向小時(shí)計(jì)數(shù)器進(jìn)位,小時(shí)計(jì)數(shù)器按照“24翻1”規(guī)律計(jì)數(shù)。計(jì)數(shù)器的輸出分別經(jīng)譯碼器送顯示器顯示。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間一致,故需要在電路上加一個(gè)校時(shí)電路,當(dāng)計(jì)時(shí)出現(xiàn)誤差時(shí),可以用校時(shí)電路校時(shí)、校分。還可實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)和鬧鐘的功能。如圖 1.1所示為數(shù)字鐘電路系統(tǒng)的組成框圖。顯示器 顯示器 顯示器譯碼器 譯碼器 譯碼器 24進(jìn)制 60進(jìn)制 60進(jìn)制 整點(diǎn)報(bào)時(shí)及鬧鐘校時(shí) 校分晶體振蕩器 分頻器圖1-1數(shù)字鐘電路系統(tǒng)的組成框圖1.1方案設(shè)計(jì) 1.1.1總的方案設(shè)計(jì): 方案一:用各種門(mén)電路直接搭接數(shù)字鐘電路,但此種方案花時(shí)間較多,所需元件眾多,電路復(fù)雜。 方案二:用計(jì)數(shù)器74LS90以及譯碼器74LS48等芯片組成電路,所需連線較第一種簡(jiǎn)單很多,很容易實(shí)現(xiàn)。 方案三:用單片機(jī)實(shí)現(xiàn)計(jì)數(shù)及顯示等,這種方案簡(jiǎn)單明了,只需要寫(xiě)好程序就可以,很容易達(dá)到任務(wù)要求。但單片機(jī)對(duì)個(gè)人能力要求較高,需要系統(tǒng)的學(xué)習(xí)。綜上,由于本人還沒(méi)有學(xué)單片機(jī)所以決定采用第二種方案。1.1.2 部分電路方案設(shè)計(jì):振蕩器是數(shù)字鐘的核心。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,一般來(lái)說(shuō),振蕩器的頻率越高,計(jì)時(shí)精度越高。通常選用石英晶體構(gòu)成振蕩器電路構(gòu)成振蕩器。也可以由555定時(shí)器組成。方案一:由集成電路定時(shí)器555與RC組成的多諧振蕩器作為時(shí)間標(biāo)準(zhǔn)信號(hào)源。圖1.1 555與RC組成的多諧振蕩器圖2武漢理工大學(xué)數(shù)字電子技術(shù)基礎(chǔ)課程設(shè)計(jì)說(shuō)明書(shū)方案二:振蕩器是數(shù)字鐘的核心。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,通常選用石英晶體構(gòu)成振蕩器電路。石英晶體振蕩器的作用是產(chǎn)生時(shí)間標(biāo)準(zhǔn)信號(hào)。因此,一般采用石英晶體振蕩器經(jīng)過(guò)分頻得到這一時(shí)間脈沖信號(hào)。圖 1.2 石英晶體振蕩器圖方案三:由集成邏輯門(mén)與RC組成的時(shí)鐘源振蕩器。圖 1.3 門(mén)電路組成的多諧振蕩器圖經(jīng)論證石英晶體振蕩器產(chǎn)生的時(shí)間信號(hào)準(zhǔn)確度較高,但做1hz的時(shí)鐘信號(hào)需要分頻器,電路會(huì)較復(fù)雜,采用門(mén)電路精度很低不宜使用,綜上應(yīng)選擇由555定時(shí)器組成的多諧振蕩器產(chǎn)生時(shí)間信號(hào)。時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。 譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。 顯示電路的組成主要是數(shù)碼管,數(shù)碼管由7個(gè)發(fā)光二極管組成,行成一個(gè)日字形,它門(mén)可以共陰極,也可以共陽(yáng)極,本設(shè)計(jì)中為共陰極七段顯示LED數(shù)碼管。2 數(shù)字鐘單元電路的設(shè)計(jì) 2.1振蕩器電路設(shè)計(jì) 圖2.1 用555定時(shí)器組成振蕩器的電路2.2時(shí)間計(jì)數(shù)單元設(shè)計(jì)時(shí)間計(jì)數(shù)單元由時(shí)計(jì)數(shù)、分計(jì)數(shù)和秒計(jì)數(shù)等幾個(gè)部分組成。時(shí)計(jì)數(shù)單元為24數(shù)器計(jì)數(shù),其輸出為兩位8421BCD碼形式,分計(jì)數(shù)和秒計(jì)數(shù)單元為60進(jìn)制計(jì)數(shù)器,其輸出也為8421BCD碼。本實(shí)驗(yàn)采取了用兩塊74LS90芯片進(jìn)行級(jí)聯(lián)來(lái)產(chǎn)生60進(jìn)制和24進(jìn)制計(jì)數(shù)器。2.2.1集成異步計(jì)數(shù)器74LS9074LS90是異步二五十進(jìn)制加法計(jì)數(shù)器,它既可以作二進(jìn)制加法計(jì)數(shù)器,又可以作五進(jìn)制和十進(jìn)制加法計(jì)數(shù)器。如圖2-2為74LS90引腳圖,表2.1為74LS90的功能表。1 2 3 4 5 6 7INA NC QA QD GND QB QC INB R01 R02 NC Vcc R91 R92 74LS9014 13 12 11 10 9 8圖2.2 74LS90引腳圖表2.1 74LS90的功能表輸 入輸 出功 能清 0置 9時(shí) 鐘QD QCQBQAR01、R02R91、R92INA INB 1100 0000清 00011 1001置 90 00 0 1QA 輸 出二進(jìn)制計(jì)數(shù)1 QDQCQB輸出五進(jìn)制計(jì)數(shù) QAQDQCQBQA輸出8421BCD碼十進(jìn)制計(jì)數(shù)QD QAQDQCQB輸出5421BCD碼十進(jìn)制計(jì)數(shù)1 1不 變保 持通過(guò)不同的連接方式,74LS90可以實(shí)現(xiàn)四種不同的邏輯功能,而且還可借助R01、R02對(duì)計(jì)數(shù)器清零,借助S91、S92將計(jì)數(shù)器置9。其具體功能詳述如下:(1)計(jì)數(shù)脈沖從INA輸入,QA作為輸出端,為二進(jìn)制計(jì)數(shù)器。(2)計(jì)數(shù)脈沖從INB輸入,QDQCQB作為輸出端,為異步五進(jìn)制加法計(jì)數(shù)器。(3)若將INB和QA相連,計(jì)數(shù)脈沖由INA輸入,QD、QC、QB、QA作為輸出端,則構(gòu)成異步8421碼十進(jìn)制加法計(jì)數(shù)器。(4)若將INA與QD相連,計(jì)數(shù)脈沖由INB輸入,QA、QD、QC、QB作為輸出端,則構(gòu)成異步5421碼十進(jìn)制加法計(jì)數(shù)器。(5)清零、置9功能。a) 異步清零 當(dāng)R01、R02均為“1”,S91、S92中有“0”時(shí),實(shí)現(xiàn)異步清零功能,即QDQCQBQA0000。b) 置9功能當(dāng)S91、S92均為“1”;R01、R02中有“0”時(shí),實(shí)現(xiàn)置9功能,即QDQCQBQA1001。2.2.2 用74LS90構(gòu)成秒和分計(jì)數(shù)器電路秒個(gè)位計(jì)數(shù)單元為10計(jì)數(shù)器,無(wú)需進(jìn)制轉(zhuǎn)換,只需將QA與INB相連即可。INA與1HZ秒輸入信號(hào)相連,QD可作為進(jìn)位信號(hào)與十位計(jì)數(shù)單元的INA相連。秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換。將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法為:將QB ,QC分別與兩個(gè)清零端R01,R02相連接。QC可作為進(jìn)位信號(hào)與分個(gè)位的計(jì)數(shù)單元的INA相連,如圖2.3所示。圖2.3 秒和分計(jì)數(shù)器的連接電路圖分個(gè)位和分十位計(jì)數(shù)單元電路結(jié)構(gòu)分別與秒個(gè)位和秒十位計(jì)數(shù)單元完全相同,也是分個(gè)位計(jì)數(shù)單元的QD作為進(jìn)位信號(hào)與分十位計(jì)數(shù)單元的INA相連,分十位計(jì)數(shù)單元的QC作為進(jìn)位信號(hào)應(yīng)與時(shí)個(gè)位計(jì)數(shù)單元的INB相連。秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換,將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法為:將QB ,QC分別與兩個(gè)清零端R01,R02相連接。 2.2.3用74LS90構(gòu)成時(shí)計(jì)數(shù)器電路時(shí)個(gè)位計(jì)數(shù)單元電路結(jié)構(gòu)仍與秒個(gè)位計(jì)數(shù)單元相同,但是要求整個(gè)時(shí)計(jì)數(shù)單元應(yīng)為24進(jìn)制計(jì)數(shù)器,所以在兩塊74LS90構(gòu)成的100進(jìn)制中截取24,就得在24的時(shí)候進(jìn)行異步清零。清零方法為:將兩片74LS90的兩個(gè)清零端R01和R02分別連接起來(lái),再將時(shí)個(gè)位的QB 與R01相連,將時(shí)十位的QC 與R02相連接。如圖2-4所示電路 圖2.4 時(shí)計(jì)數(shù)器連接電路圖2.2.4 時(shí)間計(jì)數(shù)單元總電路如圖2.5所示電路為數(shù)字鐘的時(shí)間計(jì)數(shù)單元電路連接圖,從圖中可以看出,所有的置9端及接地端都接地,所有74LS90的VCC都接上電源。圖2.5 數(shù)字鐘的時(shí)間計(jì)數(shù)單元電路連接圖2.3譯碼顯示單元電路設(shè)計(jì) 計(jì)數(shù)器實(shí)現(xiàn)了對(duì)時(shí)間的累計(jì)以8421BCD碼形式輸出,譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為七段數(shù)碼管的正常工作提供足夠的工作電流。譯碼器是一個(gè)多輸入、多輸出的組合邏輯電路。它的工作是把給定的代碼進(jìn)行“翻譯”,變成相應(yīng)的狀態(tài),使輸出通道中相應(yīng)的一路有信號(hào)輸出。譯碼器在數(shù)字系統(tǒng)中有廣泛的用途,不僅用于代碼的轉(zhuǎn)換、終端的數(shù)字顯示,還用于數(shù)字分配,存儲(chǔ)器尋址和組合控制信號(hào)等。譯碼器可以分為通用譯碼器和顯示譯碼器兩大類(lèi)。用于驅(qū)動(dòng)LED七段數(shù)碼顯示常用的有74LS48。2.3.1譯碼器74LS4874LS48是BCD-7段譯碼器/驅(qū)動(dòng)器,其輸出是OC門(mén)輸出且高電平有效,專(zhuān)用于驅(qū)動(dòng)LED七段共陰極顯示數(shù)碼管。其功能是把輸入的8421BCD碼ABCD譯成七段輸出a-g,再由七段數(shù)碼管顯示相應(yīng)的數(shù)。由74LS48和LED七段共陰極數(shù)碼管組成一位數(shù)碼顯示電路。若將“秒”、“分”、“時(shí)”計(jì)數(shù)器的每位輸出分別接到相應(yīng)七段譯碼器的輸入端,便可進(jìn)行不同數(shù)字顯示。在譯碼器輸出與數(shù)碼管之間串聯(lián)的R為限流電阻。當(dāng)數(shù)字鐘的計(jì)數(shù)器在CP脈沖的作用下,就應(yīng)將其狀態(tài)顯示成清晰的數(shù)字符號(hào), 74LS48的管腳如圖2-6。在管腳圖中,管腳LT、RBI、BI/RBO都是低電平是起作用,作用分別為:LT為燈測(cè)檢查,用LT可檢查七段顯示器個(gè)字段是否能正常被點(diǎn)燃。BI是滅燈輸入,可以使顯示燈熄滅。RBI是滅零輸入,可以按照需要將顯示的零予以熄滅。BI/RBO是共用輸出端,RBO稱(chēng)為滅零輸出端,可以配合滅零輸出端RBI,在多位十進(jìn)制數(shù)表示時(shí),把多余零位熄滅掉,以提高視圖的清晰度。Vcc f g a b c d e B C LT BI/RBO RBI D A GND1 2 3 4 5 6 7 816 15 14 13 12 11 10 974LS48圖2.6 74LS48 的管腳圖74LS48的功能:74LS48的功能表如下表所示:表2.2 74LS48 BCD七段譯碼驅(qū)動(dòng)器功能表74LS48引腳功能-七段譯碼驅(qū)動(dòng)器功能表十進(jìn)制數(shù)輸 入BT/RB0輸 出LTRBIABCDabcdefg0H/0000H11111111H/0001H01100002H/0010H11011013H/0011H11110014H/0100H01100115H/0101H10110116H/0110H00111117H/0111H11100008H/1000H11111119H/1001H1110011(1)譯碼功能:將LT,RBI和BI/RBO端接高電平,輸入十進(jìn)制數(shù)09的任意一組8421BCD碼(原碼),則輸出端ag也會(huì)得到一組相應(yīng)的7位二進(jìn)制代碼(74LS48驅(qū)動(dòng)共陰極,輸出3FH、06H、5BH;74LS47驅(qū)動(dòng)共陽(yáng)極,輸出COH、F9H、A4H)。如果將這組代碼輸入到數(shù)碼管,就可以顯示出相應(yīng)的十進(jìn)制數(shù)。(2)試燈功能:給試燈輸入加低電平,而B(niǎo)I/RBO端加高電平時(shí),則輸出端ag均為高電平。若將其輸入數(shù)碼管,則所有的顯示段都發(fā)亮。此功能可以用于檢查數(shù)碼管的好壞。(3)滅燈功能:將低電平加于滅燈輸入時(shí),不管其他輸入為什么電平,所有輸出端都為低電平。將這樣的輸出信號(hào)加至數(shù)碼管,數(shù)碼管將不發(fā)亮。(4)動(dòng)態(tài)滅燈功能:RBI端為滅零輸入端,其作用是將數(shù)碼管顯示的數(shù)字0熄滅。當(dāng)RBI=0,且DCBA=0000時(shí),若LT=1,ag輸出為低電平,數(shù)碼管無(wú)顯示。利用該滅零端,可熄滅多位顯示中不需要的零。不需要滅零時(shí),RBI=1。2.3.2顯示器LG5011AH圖2-7是共陰極式LED數(shù)碼管的原理圖,使用時(shí)公共陰極接地,使每個(gè)發(fā)光二極管都處于導(dǎo)通狀態(tài),而且這7個(gè)發(fā)光二極管a到g分別由相應(yīng)的BCD七段譯碼器來(lái)驅(qū)動(dòng)。圖 2.7 共陰極LED數(shù)碼管的原理圖在這里,我們選用型號(hào)為L(zhǎng)G5011AH的數(shù)碼管,LG5011AH的管腳功能圖如圖2-8所示, abfcgde1234567abcdefgLG5011AH圖2.8 LG5011AH的管腳圖2.3.3譯碼顯示電路譯碼顯示電路由共陰極譯碼器74LS48和七段數(shù)碼管LED組成。74LS48和LG5011AH的連接圖如圖2-9所示。 74LS48LG5011AHBI/RBO453A7B1C2D6a13b12c11d10e9f15g14abfcgde1234567abcdefgLTRBI圖2.9,譯碼顯示電路2.4 校時(shí)單元電路設(shè)計(jì) 通常,校正時(shí)間的方法是:首先截?cái)嗾5挠?jì)數(shù)通路,然后再進(jìn)行人工出觸發(fā)計(jì)數(shù)或?qū)㈩l率較高的方波信號(hào)加到需要校正的計(jì)數(shù)單元的輸入端,校正好后,再轉(zhuǎn)入正常計(jì)時(shí)狀態(tài)即可。根據(jù)要求,數(shù)字鐘應(yīng)具有分校正和時(shí)校正功能,因此,應(yīng)截?cái)喾謧€(gè)位和時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中,并加入了0.01uf的電容來(lái)防抖動(dòng)。即如圖所示: 圖2.10 校時(shí)單元電路2.5 報(bào)時(shí)電路設(shè)計(jì)采用仿廣播臺(tái)整點(diǎn)報(bào)時(shí)的功能:每當(dāng)數(shù)字鐘計(jì)時(shí)快要到正點(diǎn)時(shí)候發(fā)出響聲,通常按照四低音,一高音的順序發(fā)出間斷聲,以最后一聲高音結(jié)束的時(shí)刻為正點(diǎn)時(shí)刻。4低音(約500Hz)分別發(fā)生在59分51秒、發(fā)生在59分53秒、發(fā)生在59分55秒、發(fā)生在59分57秒、,最后一聲高音(約1KHz)發(fā)生在59秒。在這里將舉例來(lái)說(shuō)明它的工作原理。要求上午7時(shí)59分發(fā)出鬧時(shí)信號(hào),持續(xù)1分鐘。設(shè)計(jì)如下:7時(shí)59分對(duì)應(yīng)數(shù)字鐘的時(shí)時(shí)個(gè)位計(jì)數(shù)器的狀態(tài)為,分十位計(jì)數(shù)器的狀態(tài)為,分個(gè)位計(jì)數(shù)器的狀態(tài)為,若將上述計(jì)數(shù)器輸出為“1”的所有輸出端經(jīng)過(guò)與門(mén)電路去控制音響電路,就可以使音響電路正好在7點(diǎn)59分響,持續(xù)1分鐘后(即8點(diǎn))停響。所以鬧時(shí)控制信號(hào)Z的表達(dá)式為式中,M為上午的信號(hào)輸出,要求M=1。如果用與非門(mén)實(shí)現(xiàn)的邏輯表達(dá)式為:在該電路圖中用到了4輸入二與非門(mén)74LS20,集電極開(kāi)路的2輸入四與非門(mén)74LS03,因OC門(mén)的輸出端可以進(jìn)行“線與”,使用時(shí)在它們的輸出端與電源+5V端之間應(yīng)接一電阻RL。RL的值由下式?jīng)Q定: =0.4V,=0.4mA,=2.4V,=50uA,=8mA,=100Ua;m為負(fù)載門(mén)輸入端總個(gè)數(shù)。取RL=3.3K。如果控制1KHz高音和驅(qū)動(dòng)音響電路的兩極與非門(mén)也采用OC門(mén),則RL的值應(yīng)該重新計(jì)算。由電路圖可以看見(jiàn),上午7點(diǎn)59分,音響電路的晶體管導(dǎo)通,則揚(yáng)聲器發(fā)出1KHz的聲音。持續(xù)1分鐘到8點(diǎn)整晶體管因?yàn)檩斎攵藶椤?”而截止,電路停鬧。 2.11 報(bào)時(shí)電路2.6鬧鐘電路設(shè)計(jì)數(shù)字鐘在指定的時(shí)刻發(fā)出信號(hào),或驅(qū)動(dòng)音響電路“鬧時(shí)”;或?qū)δ逞b置的電源進(jìn)行接通或斷開(kāi)“控制”。不管是鬧時(shí)還是控制,都要求時(shí)間準(zhǔn)確,即信號(hào)的開(kāi)始時(shí)刻與持續(xù)時(shí)間必須滿(mǎn)足規(guī)定的要求。 圖2.12 鬧鐘電路3 數(shù)字鐘的實(shí)現(xiàn)電路及其工作原理數(shù)字鐘的完整電路圖如圖3.1所示:圖3.1數(shù)字鐘原理圖4 仿真結(jié)果4.1 時(shí)鐘結(jié)果仿真 4.2 報(bào)時(shí)電路仿真 4.3 鬧鐘電路仿真4.4 仿真結(jié)果分析經(jīng)測(cè)試之后,電路可以實(shí)現(xiàn)設(shè)計(jì)要求,可以實(shí)現(xiàn)數(shù)字鐘的基本功能,有譯碼、七段數(shù)碼顯示功能,能顯示時(shí)、分、秒計(jì)時(shí)的結(jié)果,比如計(jì)數(shù),同時(shí)多功能模塊校時(shí)功能和報(bào)時(shí)功能及鬧鐘功能都可以使用。基于仿真結(jié)果可以認(rèn)定,此次多功能數(shù)字鐘的設(shè)計(jì)是成功的。5電路的安裝與調(diào)試安裝與調(diào)試步驟:按照?qǐng)D3.1所示的數(shù)字鐘系統(tǒng)組成框圖,先將秒個(gè)位、秒十位、分個(gè)位、分十位、時(shí)個(gè)位、時(shí)十位分級(jí)焊接,在經(jīng)過(guò)調(diào)試沒(méi)有出現(xiàn)問(wèn)題后再將它們一一逐級(jí)級(jí)聯(lián),級(jí)聯(lián)后再進(jìn)行整體計(jì)時(shí)電路的調(diào)試,若此電路能夠進(jìn)行正常計(jì)數(shù),那么一個(gè)完整的計(jì)時(shí)電路就出來(lái)了。最后分別進(jìn)行秒脈沖發(fā)生器及調(diào)時(shí)電路的安裝,經(jīng)調(diào)試沒(méi)有出現(xiàn)問(wèn)題,再將它們與計(jì)時(shí)電路連接。最后進(jìn)行整體電路(即數(shù)字鐘)的調(diào)試。6課程設(shè)計(jì)心得體會(huì)通過(guò)本次課程設(shè)計(jì),我明白了一個(gè)道理:無(wú)論做什么事情,都必需養(yǎng)成嚴(yán)謹(jǐn),認(rèn)真,善思的工作作風(fēng).我這畢業(yè)設(shè)計(jì)由于我采用的是數(shù)字電路來(lái)實(shí)現(xiàn)的,所以電路較復(fù)雜,但是容易理解.每一部分我都能理解并且能有多種設(shè)計(jì)方法.時(shí)間很緊,從開(kāi)始準(zhǔn)備課設(shè)到結(jié)束大約一個(gè)星期的時(shí)間,在這期間還要準(zhǔn)備各種考試考完了就要進(jìn)行答辯顯得很匆忙。買(mǎi)回來(lái)原件就按照電路總圖進(jìn)行焊接,看著密密麻麻的交叉線作為一個(gè)很少動(dòng)手焊接的人來(lái)說(shuō)心里有點(diǎn)發(fā)虛,感覺(jué)好難。只得硬著頭皮繼續(xù)做下去,雖然老出錯(cuò)還弄的很慢還老碰到電烙鐵,但慢慢的就越來(lái)越熟練了,讓我明白了熟能生巧只有平時(shí)多練到要運(yùn)用這個(gè)技能的時(shí)候才會(huì)很熟練。雖然基本上元件都焊上去了也努力了啊,但由于本身能力有限加上時(shí)間很緊,結(jié)果沒(méi)有預(yù)想的效果也是早就想到的。享受的是這個(gè)過(guò)程,結(jié)果與預(yù)想的一樣固然很讓人興奮但沒(méi)有達(dá)到預(yù)定的目的也沒(méi)有什么關(guān)系,至少鍛煉了下自己的動(dòng)手能力,了解了不管做什么事細(xì)心有耐心是很重要的??傊畯倪@次數(shù)電課設(shè)中得到了很多東西,同時(shí)也暴露出自己的許多不足,在以后的學(xué)習(xí)過(guò)程中要慢慢的去彌補(bǔ)這些不足。參考文獻(xiàn)1 伍時(shí)和主編. 數(shù)字電子技術(shù)基礎(chǔ). 清華大學(xué)出版社,2009年4月2 吳友宇主編. 模擬電子技術(shù)基礎(chǔ). 清華大學(xué)出版社,2009年5月3 呂思忠主編. 數(shù)子電路實(shí)驗(yàn)與課程設(shè)計(jì). 哈爾濱工業(yè)大學(xué)出版社,2008年1月4 高吉祥主編電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)北京:電子工業(yè)出版社,20025 彭介華主編. 電子技術(shù)課程設(shè)計(jì)指導(dǎo). 高等教育出版社,2002年附錄1: 元器件清單器件型號(hào)用途介紹數(shù)量7seg-com-cat數(shù)碼顯示器674LS48譯碼器674LS90二-五,十進(jìn)制計(jì)數(shù)器774LS92十二分頻計(jì)數(shù)器2555555定時(shí)器1Res電阻5Cap電容2Speaker揚(yáng)聲器2Button開(kāi)關(guān)2Nand與非門(mén)5Nand_44輸入與非門(mén)2Not非門(mén)474LS204輸入端雙與非門(mén)274LS03集電極開(kāi)路2輸入端四與非門(mén)274LS002輸入端四與非門(mén)1NPNNPN122

注意事項(xiàng)

本文(《數(shù)字電子技術(shù)基礎(chǔ)》課程設(shè)計(jì)說(shuō)明書(shū)多功能數(shù)字鐘)為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!