九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網! | 幫助中心 裝配圖網zhuangpeitu.com!
裝配圖網
ImageVerifierCode 換一換
首頁 裝配圖網 > 資源分類 > DOC文檔下載  

畢業(yè)設計脈沖寬度調制系統(tǒng)(PWM)

  • 資源ID:28347620       資源大小:452.51KB        全文頁數:46頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

畢業(yè)設計脈沖寬度調制系統(tǒng)(PWM)

脈沖寬度調制系統(tǒng)(PWM)工 學 部 工學一部專 業(yè)自動化班 級 學 號 姓 名 指導教師 負責教師 摘 要直流電機是最常見的一種電機,在各領域中得到廣泛應用。研究直流電機的控制和測量方法,對提高控制精度和響應速度、節(jié)約能源等都具有重要意義。脈沖寬度調制(PWM)是在控制電路輸出周期不變的情況下,通過輸入設定不同的占空比,從而達到改變輸出直流電壓的目的。本文通過使用PWM技術,對ADC0809采集到的電壓進行模數轉換,然后將轉換成的數字量傳送到AT89S52里,在通過周期不變的前提下,調節(jié)占空比,將其調節(jié)的結果在三位數碼管上顯示出來,而這整個調節(jié)過程的原理可以運用到調節(jié)電機的供給電壓上來,以達到對直流電機的無級調速的目的。關鍵詞:PWM;直流電機;無級調速AbstractDC motors are the most common type of motor, in various fields has been widely used. Study of DC motor control and measurement method, to improve the control accuracy and response speed, energy conservation is important and so on. Pulse width modulation (PWM) control circuit in the output of the cycle remain unchanged, by entering a different duty cycle settings, change the output so as to achieve the purpose of DC voltage. In this paper, through the use of PWM technology, collected ADC0809 ADC voltage, and then convert the digital transmission to the AT89S52, in the adoption of the premise of the same cycle to regulate the duty cycle, the results of its regulation in three bit digital tube display, which is the principle of the adjustment process can be used to adjust the electrical supply voltage table in order to achieve the stepless speed regulation of DC motor purposes.Keywords: PWM; DC Motor; Stepless speed regulation目 錄1 課題研究背景和意義11.1 背景11.2 意義12 課題相關的國內外發(fā)展情況32.1 PWM技術的發(fā)展情況32.2 直流電機的發(fā)展情況43 可行性分析53.1 技術可行性分析53.2 經濟可行性分析53.3 時間可行性分析53.4 操作可行性分析64 方案論證74.1 PLC方案74.2 硬件連接方案74.3 單片機方案75 理論設計85.1 脈寬調制技術原理85.1.1 模擬電路85.1.2 數字控制95.1.3 硬件控制器105.2 PWM技術115.2.1 PWM控制的基本原理115.2.2 PWM相關概念135.3 無級調速146 系統(tǒng)設計166.1 硬件設計166.1.1 AT89S52硬件分析166.1.2 A/D轉換硬件分析196.2 強電控制弱電226.3 軟件設計237 調試分析258 社會經濟效益分析26結 論27致 謝28參考文獻29附錄 總體連接圖30附錄 程序清單31IV1 課題研究背景和意義脈寬調制PWM是開關型穩(wěn)壓電源中的術語。這是按穩(wěn)壓的控制方式分類的,除了PWM型,還有PFM型和PWM、PFM混合型。脈寬寬度調制式(PWM)開關型穩(wěn)壓電路是在控制電路輸出頻率不變的情況下,通過電壓反饋調整其占空比,從而達到穩(wěn)定輸出電壓的目的。1.1 背景 電是人們生活中不可缺少的東西,電燈,電話,電影,電視,洗衣機,電冰箱,無線電廣播,X光透視等等都靠電。人們飽嘗了停電帶來的不便,害怕停電,不希望停電,因此,各國都在大力發(fā)展電力產業(yè)。大規(guī)模機器生產離不開動字,馬達轟鳴是工廠生命的象征,電動機隨人意轉動是工業(yè)現代化的標志。 直流能避開電感電容產生的無功損耗和功率因數的下降,在變壓,整流極可實現的今天,直流輸電又重回日程上表。我國已經建成了一條直流輸電線,現在正準備建第二條實現西電東送。直流電動機以其外特性硬著稱,伴隨直流輸電解決了直流電源問題,直流電動機的使用越來越廣泛,特別的各種精度要求非常的工廠更是對直流電動機的良好穩(wěn)定的工作性能渴求以久,而現在終于得以實現了??茖W的發(fā)展,技術的進步使人們步入了精細的社會,交流電動機的變頻,直流電動機的PWM實現無級調速,是精細化的重要內容。1.2 意義無級調速能使電動機平滑起制動,免去了速度突變使乘電動機車人們站立不穩(wěn)造成前俯后仰,甚至跌打損傷及坐電梯的人們心臟不適的感覺,電動機起停于不知不覺中有多愜意,可讓人們更好的享受生活。隨著社會的發(fā)展,加工的機器零件外型越來越復雜,我國科技部立項的重大設備攻關項目五軸聯動鈦合金加工的數控機床就是用來加工飛機發(fā)動機葉輪等復雜外型,飛機零件的,要精密到頭發(fā)絲的十分之幾,甚至百分之幾。這就要求五軸電動機不但和諧運動,而且每軸電動機的轉速根據零件外型和刀具所處位置無級變化,只有位置精確,速度精確,才能保證切削精確,才能保證加工質量。顯然電動機無級調速對精密加工,對我國裝備制造業(yè)基地意義重大。2 課題相關的國內外發(fā)展情況2.1 PWM技術的發(fā)展情況PWM控制技術一直是變頻技術的核心技術之一。1964年A.Schonung和H.stemmler首先提出把這項通訊技術應用到交流傳動中,從此為交流傳動的推廣應用開辟了新的局面。從最初采用模擬電路完成三角調制波和參考正弦波比較,產生正弦脈寬調制SPWM信號以控制功率器件的開關開始,到目前采用全數字化方案,完成優(yōu)化的實時在線的PWM信號輸出,可以說直到目前為止,PWM在各種應用場合仍在主導地位,并一直是人們研究的熱點。由于PWM可以同時實現變頻變壓反抑制諧波的特點。由此在交流傳動及至其它能量變換系統(tǒng)中得到廣泛應用。PWM控制技術大致可以為為三類,正弦PWM(包括電壓,電流或磁通的正弦為目標的各種PWM方案,多重PWM也應歸于此類),優(yōu)化PWM及隨機PWM。正弦PWM已為人們所熟知,而旨在改善輸出電壓、電流波形,降低電源系統(tǒng)諧波的多重PWM技術在大功率變頻器中有其獨特的優(yōu)勢(如ABB ACS1000系列和美國ROBICON公司的完美無諧波系列等);而優(yōu)化PWM所追求的則是實現電流諧波畸變率(THD)最小,電壓利用率最高,效率最優(yōu),及轉矩脈動最小以及其它特定優(yōu)化目標。在70年代開始至80年代初,由于當時大功率晶體管主要為雙極性達林頓三極管,載波頻率一般最高不超過5kHz,電機繞組的電磁噪音及諧波引起的振動引起人們的關注。為求得改善,隨機PWM方法應運而生。其原理是隨機改變開關頻率使電機電磁噪音近似為限帶白噪音(在線性頻率坐標系中,各頻率能量分布是均勻的),盡管噪音的總分貝數未變,但以固定開關頻率為特征的有色噪音強度大大削弱。正因為如此,即使在IGBT已被廣泛應用的今天,對于載波頻率必須限制在較低頻率的場合,隨機PWM仍然有其特殊的價值(DTC控制即為一例);別一方面則告訴人們消除機械和電磁噪音的最佳方法不是盲目地提高工作頻率,因為隨機PWM技術提供了一個分析、解決問題的全新思路。 隨著電壓型PWM變頻器在高性能的交流傳動系統(tǒng)中應用日趨廣泛,PWM技術的研究越來越深入。PWM利用功率半導體器件的高頻開通和關斷,把直流電壓變成按一定寬度規(guī)律變化的電壓脈沖序列,以實現變頻、變壓并有效地控制和消除諧波。PWM技術可分為三大類:正弦PWM、優(yōu)化PWM及隨機PWM。正弦PWM包括以電壓、電流和磁通的正弦為目標的各種PWM方案。正弦PWM一般隨著功率器件開關頻率的提高會得到很好的性能,因此在中小功率交流傳動系統(tǒng)中被廣泛采用。但對于大容量的電力變換裝置來說,太高的開關頻率會導致大的開關損耗,而且大功率器件如GTO的開關頻率目前還不能做得很高,在這種情況下,優(yōu)化PWM技術正好符合裝置的需要。特定諧波消除法(Selected Harmonic Elimination PWMSHE PWM)、效率最優(yōu)PWM和轉矩脈動最小PWM都屬于優(yōu)化PWM技術的范疇。普通PWM變頻器的輸出電流中往往含有較大的和功率器件開關頻率相關的諧波成分,諧波電流引起的脈動轉矩作用在電動機上,會使電動機定子產生振動而發(fā)出電磁噪聲,其強度和頻率范圍取決于脈動轉矩的大小和交變頻率。如果電磁噪聲處于人耳的敏感頻率范圍,將會使人的聽覺受到損害。一些幅度較大的中頻諧波電流還容易引起電動機的機械共振,導致系統(tǒng)的穩(wěn)定性降低。為了解決以上問題,一種方法是提高功率器件的開關頻率,但這種方法會使得開關損耗增加;另一種方法就是隨機地改變功率器件的導通位置和開關頻率,使變頻器輸出電壓的諧波成分均勻地分布在較寬的頻帶范圍內,從而抑制某些幅值較大的諧波成分,以達到抑制電磁噪聲和機械共振的目的,這就是隨機PWM技術。2.2 直流電機的發(fā)展情況直流電動機具有良好的起動、制動和調速性能,可以方便地在寬范圍內實現平滑無級調速。20世紀30年代,直流調速系統(tǒng)就已在重型和精密機床上得到廣泛應用。20世紀60年代以后,隨著大功率晶閘管的問世、大功率整流技術和大功率晶體管的發(fā)展,晶閘管直流電動機無級調速系統(tǒng)取代了“直流發(fā)電機-直流電動機”、電磁放大機等直流調速系統(tǒng),采用脈寬調制的直流調速系統(tǒng)也得到了廣泛的應用。3 可行性分析3.1 技術可行性分析脈寬調制系統(tǒng)設計的核心技術為單片機技術。還涉及到其他技術如數據采集技術等,這些知識都學過。現今以上技術均發(fā)展成熟,可以利用其進行實驗。 以上幾種技術涉及到我們學習的單片機原理與接口技術、微機計算機原理與接口技術、微型計算機控制技術,過程控制等幾門課程。需要掌握的具體知識有A/D轉換、中斷、LED數碼顯示等幾個模塊。本人已初步掌握這些技術,可以利用這些技術完成畢業(yè)設計,技術分析可行,可以進行實驗設計。3.2 經濟可行性分析畢業(yè)設計脈寬調制系統(tǒng)設計用到的實驗設備為微型計算機、單片機和示波器。以上設備價格便宜購買方便,經濟上完全可以承受,經濟分析可行。3.3 時間可行性分析收集課題相關資料,熟悉設計相關元件,完成開題報告和3000字的相關英文資料翻譯,完成總體的硬件電路和軟件設計,溫習匯編語言在第一周到第四周完成。設計完善的硬件圖,包括各個模塊的精確設計。有針對性的收集軟件變成資料,準備開始上機編程在第五周到第六周完成。購買材料,完成實驗室硬件接線,開始編程調試,爭取在第七周到第十周期間完成硬軟件聯調,實現畢業(yè)設計所需功能,通過導師驗收。在第十一周到第十四周完成畢業(yè)設計論文,期間每周聽取導師對論文的意見和建議。在第十五周到第十九周完善論文,擴充課題相關知識,準備畢業(yè)論文答辯。按照該計劃現在設計已經進行到論文階段,時間分析可行。根據以上時間計劃,可以完成畢業(yè)設計所有內容,時間分析可行,可以進行實驗。3.4 操作可行性分析本次畢業(yè)設計主要利用單片機,涉及到的模塊有A/D轉換模塊、AT98S52單片機、LED數碼顯示模塊。下面就對各個模塊需要實現的功能進行介紹。A/D轉換模塊功能:完成0-5V電位器產生的模擬信號轉化為需要的數字信號,0-5V的模擬量對應00H-FFH的數字量。LED數碼顯示模塊功能:LED數碼管顯示0100,也就是占空比的比值,電位器模擬量轉換為數字量的00H-FFH。最終設計實現以上功能,功能可行性分析可行。4 方案論證4.1 PLC方案用PLC技術也能把這實驗完美的做出來,但是考慮到現實中的PLC器材和成本價格都比較昂貴,而且PLC機器笨重,龐大,不利于搬動。所以此方案不是最理想的。4.2 硬件連接方案全用硬件也能把這實驗做出來,但這樣做的過程比較復雜,機動性也很差,工程量比較大,比較煩瑣,所以容易出紕漏,因此這方案也不是最佳方案。4.3 單片機方案此次方案簡單,快捷,不僅各種實驗所需的材料價格比較便宜,而且在市場上可以很方便的購買到。單片機體積小,功能全,可靠性好。因此此實驗用這種方案是最合適的。所以此實驗我就選擇的是單片機這一方案。5 理論設計脈寬調制PWM是開關型穩(wěn)壓電源中的術語。這是按穩(wěn)壓的控制方式分類的,除了PWM型,還有PFM型和PWM、PFM混合型。脈寬寬度調制式(PWM)開關型穩(wěn)壓電路是在控制電路輸出頻率不變的情況下,通過電壓反饋調整其占空比,從而達到穩(wěn)定輸出電壓的目的。5.1 脈寬調制技術原理5.1.1 模擬電路模擬信號的值可以連續(xù)變化,其時間和幅度的分辨率都沒有限制。9V電池就是一種模擬組件,因為它的輸出電壓并不精確地等于9V,而是隨時間產生變化,并可取任何實數值。與此類似,從電池吸收的電流也不限定在一組可能的取值范圍之內。模擬信號與數字信號的區(qū)別在于后者的取值通常只能屬于預先確定的可能取值集合之內,例如在(0V, 5V)這一集合中取值。模擬電壓和電流可直接用來進行控制,如對汽車收音機的音量進行控制。在簡單的模擬收音機中,音量旋鈕被連接到一個可變電阻。擰動旋鈕時,電阻值變大或變小;流經這個電阻的電流也隨之增加或減少,從而改變了驅動揚聲器的電流值,使音量相應變大或變小。與收音機一樣,模擬電路的輸出與輸入成線性比例。盡管模擬改變電流值的大小控制看起來可能直觀而簡單,但它并不總是非常經濟或可行的。其中一點就是,模擬電路容易隨時間漂移,因而難以調節(jié)。能夠解決這個問題的精密模擬電路可能非常龐大、笨重(如老式的家庭立體聲設備)和昂貴。模擬電路還有可能嚴重發(fā)熱,其功耗相對于工作組件兩端電壓與電流的乘積成正比。模擬電路還可能對噪聲很敏感,任何擾動或噪聲都肯定會。5.1.2 數字控制由以數字方式控制模擬電路,可以大幅度降低系統(tǒng)的成本和功耗。此外,許多微控制器和DSP已經在芯片上包含了PWM控制器,這使數字控制的實現變得更加容易了。簡而言之,PWM是一種對模擬信號電平進行數字編碼的方法。由高分辨率計數器的使用,方波的占空比被調變用來對一個具體模擬信號的電平進行編碼。PWM信號仍然是數字的,因為在給定的任何時刻,滿幅值的直流供電要么完全有(ON),要么完全無(OFF)。電壓或電流源是以一種通(ON)或斷(OFF)的重復脈沖序列被加到模擬負載上去的。通的時候即是直流供電被加到負載上的時候,斷的時候即是供電被斷開的時候。只要頻寬足夠,任何模擬值都可以使用PWM進行編碼。下圖中顯示了三種不同的PWM信號。圖a是一個占空比為10%的PWM輸出,即在信號周期中,10的時間通,其余90的時間斷。圖b和圖c顯示的分別是占空比為50%和90%的PWM輸出。這三種PWM輸出編碼的分別是強度為滿度值的10%、50%和90%的三種不同模擬信號值。例如,假設供電電源為9V,占空比為10%,則對應的是一個幅度為0.9V的模擬信號。 圖5.1 PWM信號圖例:用一塊9V電池連接一個燈泡,使用PWM進行驅動的簡單電路。如果將連接電池和燈泡的開關閉合50ms,燈泡在這段時間中將得到9V供電。如果在下一個50ms中將開關斷開,燈泡得到的供電將為0V。如果在1秒鐘內將此過程重復10次,燈泡將會點亮并像連接到了一個4.5V電池(9V的50%)上一樣。這種情況下,占空比為50%,調變頻率為10Hz。 大多數負載(無論是電感性負載還是電容性負載)需要的調變頻率高于10Hz。設想一下如果燈泡先接通5秒再斷開5秒,然后再接通、再斷開。占空比仍然是50%,但燈泡在頭5秒鐘內將點亮,在下一個5秒鐘內將熄滅。要讓燈泡取得4.5V電壓的供電效果,通斷循環(huán)周期與負載對開關狀態(tài)變化的響應時間相比必須足夠短。要想取得調光燈(但保持點亮)的效果,必須提高調變頻率。在其它PWM應用場合也有同樣的要求。通常調變頻率為1kHz到200kHz之間。5.1.3 硬件控制器許多微控制器內部都包含有PWM控制器。例如,Microchip公司的PIC16C67內含兩個PWM控制器,每一個都可以選擇接通時間和周期。占空比是接通時間與周期之比;調變頻率為周期的倒數。執(zhí)行PWM作業(yè)之前,這種微處理器要求在軟件中完成以下工作:設置提供調變方波的片上定時器/計數器的周期在PWM控制緩存器中設置接通時間設置PWM輸出的方向,這個輸出是一個通用I/O管腳啟動定時器使能PWM控制器雖然具體的PWM控制器在編程細節(jié)上會有所不同,但它們的基本方向通常是相同的。PWM廣泛應用在多種系統(tǒng)中。作為一個具體的例子,我們來考察一種用PWM控制的制動器。簡單地說,制動器是緊夾住某種東西的一種裝置。許多制動器使用模擬輸入信號來控制夾緊壓力(或制動功率)的大小。加在制動器上的電壓或電流越大,制動器產生的壓力就越大??梢詫WM控制器的輸出連接到電源與制動器之間的一個開關。要產生更大的制動功率,只需藉由軟件加大PWM輸出的占空比就可以了。如果要產生一個特定大小的制動壓力,需要藉由測量來確定占空比和壓力之間的數學關系(所得的公式或查找表藉由變換可用于控制溫度、表面磨損等等)。例如,假設要將制動器上的壓力設定為100P,軟件將作一次反向查找,以確定產生這個大小的壓力的占空比應該是多少。然后再將PWM占空比設置為這個新值,制動器就可以相應地進行響應了。如果系統(tǒng)中有一個傳感器,則可以借由死循環(huán)控制來調節(jié)占空比,直到精確產生所需的壓力??傊?,PWM既經濟、節(jié)約空間、抗噪性能強,是一種值得廣大工程師在許多設計應用中使用的有效技術。5.2 PWM技術脈寬調制(PWM:(Pulse Width Modulation)是利用微處理器的數字輸出來對模擬電路進行控制的一種非常有效的技術,廣泛應用在從測量、通信到功率控制與變換的許多領域中。簡而言之,PWM是一種對模擬信號電平進行數字編碼的方法。通過高分辨率計數器的使用,方波的占空比被調制用來對一個具體模擬信號的電平進行編碼。PWM信號仍然是數字的,因為在給定的任何時刻,滿幅值的直流供電要么完全有(ON),要么完全無(OFF)。電壓或電流源是以一種通(ON)或斷(OFF)的重復脈沖序列被加到模擬負載上去的。通的時候即是直流供電被加到負載上的時候,斷的時候即是供電被斷開的時候。只要帶寬足夠,任何模擬值都可以使用PWM進行編碼。PWM的一個優(yōu)點是從處理器到被控系統(tǒng)信號都是數字形式的,無需進行數模轉換。讓信號保持為數字形式可將噪聲影響降到最小。噪聲只有在強到足以將邏輯1改變?yōu)檫壿?或將邏輯0改變?yōu)檫壿?時,也才能對數字信號產生影響。對噪聲抵抗能力的增強是PWM相對于模擬控制的另外一個優(yōu)點,而且這也是在某些時候將PWM用于通信的主要原因。從模擬信號轉向PWM可以極大地延長通信距離。在接收端,通過適當的RC或LC網絡可以濾除調制高頻方波并將信號還原為模擬形式。5.2.1 PWM控制的基本原理 沖量相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時,其效果基本相同。沖量指窄脈沖的面積。效果基本相同,是指環(huán)節(jié)的輸出響應波形基本相同。低頻段非常接近,僅在高頻段略有差異。圖5.2.1形狀不同而沖量相同的各種窄脈沖 面積等效原理: 分別將如圖1所示的電壓窄脈沖加在一階慣性環(huán)節(jié)(R-L電路)上,如圖2a所示。其輸出電流i(t)對不同窄脈沖時的響應波形如圖2b所示。從波形可以看出,在i(t)的上升段,i(t)的形狀也略有不同,但其下降段則幾乎完全相同。脈沖越窄,各i(t)響應波形的差異也越小。如果周期性地施加上述脈沖,則響應i(t)也是周期性的。用傅里葉級數分解后將可看出,各i(t)在低頻段的特性將非常接近,僅在高頻段有所不同。圖5.2.2沖量相同的各種窄脈沖的響應波形用一系列等幅不等寬的脈沖來代替一個正弦半波,正弦半波N等分,看成N個相連的脈沖序列,寬度相等,但幅值不等;用矩形脈沖代替,等幅,不等寬,中點重合,面積(沖量)相等,寬度按正弦規(guī)律變化。SPWM波形脈沖寬度按正弦規(guī)律變化而和正弦波等效的PWM波形。圖5.2.3用PWM波代替正弦半波要改變等效輸出正弦波幅值,按同一比例改變各脈沖寬度即可。PWM電流波: 電流型逆變電路進行PWM控制,得到的就是PWM電流波。PWM波形可等效的各種波形:直流斬波電路,等效直流波形,SPWM波,等效正弦波形,還可以等效成其他所需波形,如等效所需非正弦交流波形等,其基本原理和SPWM控制相同,也基于等效面積原理。5.2.2 PWM相關概念占空比:就是輸出的PWM中,高電平保持的時間 與 該PWM的時鐘周期的時間 之比如,一個PWM的頻率是1000Hz,那么它的時鐘周期就是1ms,就是1000us,如果高電平出現的時間是200us,那么低電平的時間肯定是800us,那么占空比就是200:1000,也就是說PWM的占空比就是1:5。分辨率也就是占空比最小能達到多少,如8位的PWM,理論的分辨率就是1:255(單斜率), 16位的的PWM理論就是1:65535(單斜率)。頻率就是這樣的,如16位的PWM,它的分辨率達到了1:65535,要達到這個分辨率,T/C就必須從0計數到65535才能達到,如果計數從0計到80之后又從0開始計到80.,那么它的分辨率最小就是1:80了,但是,它也快了,也就是說PWM的輸出頻率高了。雙斜率 / 單斜率假設一個PWM從0計數到80,之后又從0計數到80. 這個就是單斜率。假設一個PWM從0計數到80,之后是從80計數到0. 這個就是雙斜率??梢?,雙斜率的計數時間多了一倍,所以輸出的PWM頻率就慢了一半,但是分辨率卻是1:(80+80) 1:160,就是提高了一倍。假設PWM是單斜率,設定最高計數是80,我們再設定一個比較值是10,那么T/C從0計數到10時(這時計數器還是一直往上計數,直到計數到設定值80),單片機就會根據你的設定,控制某個IO口在這個時候是輸出1還是輸出0還是端口取反,這樣,就是PWM的最基本的原理了。 5.3 無級調速無級調速簡稱CV變速,是通過兩組可以改變直徑的齒輪或者皮帶輪組成,由鏈條或皮帶連接,通過改變齒輪或皮帶輪的直徑來控制變速比由于皮帶、鏈條的物理限制,不能用于扭矩較大的發(fā)動機(不過現在日產已經研究出可以承受300N.m的無級變速箱),常見于踏板摩托車(皮帶傳動),小型轎車(鏈條傳動),卡丁車(皮帶傳動)優(yōu)點:沒有換檔動作,方便,沒有換檔的頓挫感,傳動效率高(比自動變速(85%左右)高很多(約95%),與手動變速接近),所以加速快,油耗低缺點:故障率相對較高,成本相對較高,不能用于高輸出發(fā)動機。 無級調速又是自動調速。無級調速說起來簡單,實際上也不是那么簡單。實現無級調速,例如,對直流電機,用變頻器就不能調速。無級調速一般情況下可以用機械和電氣兩個大類實現。機械式無極調速,可通過液壓控制來實現。電氣上首先要分對直流電機還是對交流電機調速(伺服電機、步進電機還是另類,先不算),直流電機用直流調速器控制,如西門子的6RA70等,交流電機用變頻器控制,如西門子的MM440等。還有一種方式是對什么電機都有效的,就是電磁調速器,加在電機和負載之間,靠丟轉來調速。直流伺服電機具有響應快、低速平穩(wěn)性好、調速范圍寬等特點,因而常常用于實現精密調速和位置控制的隨動系統(tǒng)中,在工業(yè)、國防和民用等領域內得到廣泛應用,特別是在火炮穩(wěn)定系統(tǒng)、艦載平臺、雷達天線、機器人控制等場合。盡管交流伺服電機的發(fā)展相當迅速,但在這些領域內還難以取代直流伺服電機。傳統(tǒng)的直流調速系統(tǒng)包含2個反饋環(huán)路,即速度環(huán)和電流環(huán),采用測速機、電流傳感器(霍爾器件)及模擬電子線路實現速度的閉環(huán)控制?,F代數字直流伺服控制則采用高速數字信號處理器(DSP),直接對速度和電流信號進行采樣,通過軟件實現數字比較、數字調節(jié)運算(數字濾波)、數字脈寬調制等各種功能,從而實現對速度的精確控制。二者相比,模擬調速系統(tǒng)結構簡單、成本低、可靠性高,但調試較復雜,因為其電路參數的修改往往需要硬件上的改動;而數字調速系統(tǒng)結構復雜、成本高,但是調速精度很高、調試過程也較容易,調速系統(tǒng)的性能可以由軟件進行控制。 在畢業(yè)設計的過程中也提高了自己獨立思考問題,發(fā)現問題解決問題的能力。6 系統(tǒng)設計6.1 硬件設計6.1.1 AT89S52硬件分析AT89S52是一種低功耗、高性能、采用CMOS工藝制造的8位單片機,具有以下標準功能:8k字節(jié)片內Flash存儲器,256字節(jié)RAM,32 位I/O口線,看門狗定時器,2個數據指針,3個16位定時器/計數器,一個6向量2級中斷結構,全雙工串行口,片內晶振及時鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏輯操作,持2種軟件可選擇節(jié)電模式。空閑模式下,CPU停止工作,允許RAM、定時器/計數器、串口、中斷繼續(xù)工作。掉電保護方式下,RAM內容被保存,振蕩器被凍結,單片機一切工作停止,直到下一個中斷或硬件復位為止。芯片的引腳如圖6.1.1-1:圖6.1.1-1 AT89S52引腳主要引腳功能如下:P0 口:8位并行雙向的I/O口,訪問外部存儲器時,可作為低8位地址線/數據總線復用。本次設計P0口與數碼管相連,目的是顯示數碼管。P1 口:具有內部上拉電阻的8 位雙向I/O口,可驅動4個TTL 邏輯電平,當編程和校驗程序定義為低8位的地址線。作為輸入時需要先將每個引腳置成1。引腳P1.0同時還是定時/計數器T2的外部計數輸入,引腳P1.1同時還是定時/計數器T2捕捉/重裝操作的控制信號。本次設計只用到了P1.0口。P2 口:P2 口是一個具有內部上拉電阻的8 位雙向I/O 口,P2 輸出緩沖器能驅動4 個TTL 邏輯電平。對P2 端口寫“1”時,內部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內部電阻的原因,將輸出電流(IIL)。在訪問外部程序存儲器或用16位地址讀取外部數據存儲器(例如執(zhí)行MOVX DPTR)時,P2 口送出高八位地址。在這種應用中,P2 口使用很強的內部上拉發(fā)送1。在使用8位地址(如MOVX RI)訪問外部數據存儲器時,P2口輸出P2鎖存器的內容。在flash編程和校驗時,P2口也接收高8位地址字節(jié)和一些控制信號。此設計把P2口作為輸入口使用。P3 口:具體內上拉電阻的8位準雙向I/O口,可驅動4個TTL負載。作為普通的I/O口的輸入口使用時,應該先將該口的各引腳寫1。除此之外,P3口還提供了一些第二功能,如表6.1.1-2所示:表6.1.1-2 P3口第二功能引腳號第二功能P3.0RXD(串行輸入)P3.1TXD(串行輸出)P3.2INT0(外部中斷0)P3.3INT1(外部中斷1)P3.4T0 (定時器0外部輸入)P3.5T1 (定時器1外部輸入)P3.6WR (外部數據存儲器寫選通,低電平有效)P3.7RD (外部數據存儲器讀選通,低電平有效) 此設計中,P3.0-P3.2為控制數碼管的顯示,P3.3-P3.6是讀取AD的控制線的。下面給出的是設計中AT89S52的各個引腳的連線情況: 圖6.1.1-3 AT89S52硬件連接圖6.1.2 A/D轉換硬件分析ADC0809是美國國家半導體公司生產的8位ADC,采用逐次逼近的方法完成A/D轉換。ADC0809的內部結構如圖5.1.2-1所示。ADC0809由單一+5V電源供電,片內有帶鎖存功能的8路模擬多路開關,可對8路0-5V的輸入模擬電壓信號分時進行轉化,完成一次轉換約需100s;輸出具有TTL三態(tài)鎖存緩沖器,可直接接到單片機數據總線上。通過適當的外接電路,ADC0809可對0-5V的雙極性模擬信號進行轉換。ADC0809是28腳雙列直插式封裝,引腳如圖6.1.2-1所示。各引腳功能如下:圖6.1.2-1ADC0809引腳圖 IN7IN0模擬量輸入通道 ALE地址鎖存允許信號。對應ALE上跳沿,A、B、C地址狀態(tài)送入地址鎖存器中。 START轉換啟動信號。START上升沿時,復位ADC0809;START下降沿時啟動芯片,開始進行A/D轉換;在A/D轉換期間,START應保持低電平。本信號有時簡寫為ST. A、B、C地址線。 通道端口選擇線,A為低地址,C為高地址,引腳圖中為ADDA,ADDB和ADDC。 CLK時鐘信號。ADC0809的內部沒有時鐘電路,所需時鐘信號由外界提供,因此有時鐘信號引腳。通常使用頻率為500KHz的時鐘信號 EOC轉換結束信號。EOC=0,正在進行轉換;EOC=1,轉換結束。使用中該狀態(tài)信號即可作為查詢的狀態(tài)標志,又可作為中斷請求信號使用。 D7D0數據輸出線。為三態(tài)緩沖輸出形式,可以和單片機的數據線直接相連。D0為最低位,D7為最高 OE輸出允許信號。用于控制三態(tài)輸出鎖存器向單片機輸出轉換得到的數據。OE=0,輸出數據線呈高阻;OE=1,輸出轉換得到的數據。 Vcc +5V電源。 Vref參考電源參考電壓用來與輸入的模擬信號進行比較,作為逐次逼近的基準。其典型值為+5V(Vref(+)=+5V, Vref(-)=-5V).ADC0809的內部邏輯結構圖如圖6.1.2-2所示:圖6.1.2-2 ADC0809內部邏輯結構 圖中多路開關可選通8個模擬通道,允許8路模擬量分時輸入,共用一個A/D轉換器進行轉換,這是一種經濟的多路數據采集方法。地址鎖存與譯碼電路完成對A、B、C 3個地址位進行鎖存和譯碼,其譯碼輸出用于通道選擇,其轉換結果通過三態(tài)輸出鎖存器存放、輸出,因此可以直接與系統(tǒng)數據總線相連。 圖6.1.2-3 ADC0809硬件接線6.2 強電控制弱電 圖6.2.1弱電控制強電簡單電路圖此圖通過05V的電壓使發(fā)光二極管發(fā)光,發(fā)出的光使光電三極管激活,從而使繼電器線圈產生電壓,而和線圈并聯的二極管,意在保護光電三極管不被擊穿。繼電器線圈中產生電壓以后就可以控制接有負載的強電系統(tǒng)了。6.3 軟件設計圖6.3.1 軟件主流程圖此實驗從接通電源開始,由程序控制,通過ADC0809采集電壓在將模擬量轉換成數字量送入到AT98S52中,通過AT89S52中的一系列中斷過程(數據處理過程),最后將調節(jié)的占空比比值通過數碼管顯示出來。圖6.3.2 中斷流程圖7 調試分析在調試的過程中,曾經許多次都調不出理想中的狀態(tài),硬件的焊接上也出過不少問題,不是焊多了就是把不該焊接的地方給焊接上了,還有就是焊接松動了,后來不斷的試一次,檢查一次,在修改,問題終于慢慢少了 ,最后才把整個板子焊接好。還有就是調示波器的波形,這本來是一個很簡單的事情,但是由于學校示波器的型號比較老,而且精確度也不是很高,所以也費不少勁,不過還算好,現象最終還是調試出來了。軟件方面,主要的問題出在程序上,由于自己對單片機的編程不是很精通,所以編這個程序很費了些力,請教老師和自己看書,在各方面的幫助下,最終才完成的。但最后編出來的程序還是出現了很多錯誤,后也經過很長一段時間的修改才完全正確了。8 社會經濟效益分析此實驗整個過程中,就用了一塊電路板,1個ADC0809,1個AT89S52單片機片子,另外還有一個三位LED數碼管,在加上一些導線,然后焊接起來就完成了。這些實驗材料在市場上的購買價格都很便宜,即成本很低。而一但實驗成功后所帶來的經濟效益卻非常的大,因為現代社會的發(fā)展和大程度上都需要這方面的技術和產品,特別的實現了無級調速所帶來的優(yōu)越性更是使很多需要用到電動機的高精密生產廠家爭相購買。故此實驗是一個投資小回報大的項目,完全可以投入研究和生產。結 論經過了近三個月的學習和實驗,在楊明鑑教授和付剛教授的悉心指導和嚴格要求下,我終于完成了脈沖寬度調制系統(tǒng)(PWM)的論文與模擬設計。從課題選擇、方案論證到具體設計,每一步對我來說無疑是巨大的嘗試和挑戰(zhàn),也是我在大學期間獨立完成的最大的項目。還清楚的記得在剛接到這個課題時,心里很有點慌亂,由于當初我們的單片機是考察課,自己在學習上也松懈了很多,導致了這一塊知識的缺失,而我本次設計的題目正是單片機類的畢業(yè)設計。帶著這樣的困難我開始了不斷地學習和實驗:去圖書館查閱相關資料、上網去了解課題相關的最新動向、復習匯編語言和一些主要芯片的知識,經過自己的努力和老師的引導,課題的主體框架漸漸形成了。在具體設計的過程中,我遇到了更大的困難,如數碼顯示不正確,硬件內部接線模糊等等,在自己的努力和老師同學的幫助下一一的解決了這些問題,最終完成了本次畢業(yè)設計。應該說收獲是豐盛的,在這個過程中不僅彌補了我在單片機知識上的空缺,更鍛煉了自己發(fā)現問題,勇敢面對,解決問題的能力,在這一個個循環(huán)往復的過程中,更提高了自己思考問題的能力。雖然我的設計作品不是很成熟,即使借鑒前人的很多資料仍然還有很多不足之處,但我仍然心里有一種莫大的幸福感和成就感,這種感覺是很奇妙的。因為我實實在在地走過了一個完整的設計所應該走的每一個過程,并且享受了每一個過程。 由于這是本人第一次完整的設計,加之水平有限,設計中難免有不當之處,還請各位老師給予批評、指正。致 謝這次畢業(yè)設計的過程中,同時得到了學校的大力支持,給我提供了舒適的學習與設計環(huán)境,在此表示衷心的感謝!感謝我的導師*教授和付剛教授,從開始進入課題到論文的順利完成近3個月的時間里;幾乎每周都會給我引導思路,指出錯誤,是我畢業(yè)設計過程中最該感謝的人。 感謝*同學對我的幫助和指點,特別是在繪圖的過程中,他們給予了我很大的幫助。感謝*學長在畢業(yè)設計最后的一段時間里,幫我指出了實驗中不少中致命性的錯誤,使我順利的完成了此實驗的設計。 在論文即將完成之際,我的心情無法平靜,從開始進入課題到論文的順利完成,有太多可敬的師長、同學和朋友給予了我無言的幫助,在這里不能一一提及。僅在此向所有給予我?guī)椭呐笥褌冎乱宰钫\摯的謝意。參考文獻1 馬淑華、王鳳文、張美金.單片機原理與接口技術.北京郵電大學出版社,20072 楊立 . 微型計算機原理與接口技術. 中國水利水電出版社, 20053 楊將新、李華軍.片機程序設計及應用.電子工業(yè)出版社,20064 張振榮、毅平.片機原理及實用技術.人民郵電出版社,20005 張友德. 單片機微型機原理及應用.復旦大學出版社,19916 王宗和.單片機實驗與綜合訓練.高等教育出版社,20057 湖漢才.單片機原理及其接口技術.清華大學出版社,19968 徐淑華.片機微型原理及應用.哈爾濱工業(yè)大學出版社,19949 王曉明.電動機的單片機控制.北京航空航天大學出版社,2002附錄 總體連接圖(總體硬件連接圖見后大圖)附錄 程序清單;-ADDATA_BUS EQU P2 ;0809數據總線START EQU P3.5 ;接0809 STREOC EQU P3.6 ;接0809 EOCOE EQU P3.7 ;接0809 OEALE EQU P3.4 ;接0809 ALE;-DIS_BUS EQU P0 ;顯示段碼總線 ;-DIS1 EQU P3.2 ;顯示位碼線1DIS2 EQU P3.1 ;顯示位碼線2DIS3 EQU P3.0 ;顯示位碼線3;-PWM EQU P1.0 ;PWM輸出口;-;-;-定義其他寄存器-PWM_P EQU 30H ;PWM周期寄存器PWM_H EQU 31H ;PWM高電平寄存器;-;-定義AD寄存器-AD_DATA EQU 36H ;AD 寄存器;-;-與顯示相關寄存器-DISPLAY_NUM_1 EQU 5BH ; 數碼管1顯示的數值寄存器DISPLAY_NUM_2 EQU 5CH ; 數碼管2顯示的數值寄存器DISPLAY_NUM_3 EQU 5DH ; 數碼管3顯示的數值寄存器;-DISPLAY_CODE_1 EQU 61H ; 數碼管1段碼寄存器DISPLAY_CODE_2 EQU 62H ; 數碼管2段碼寄存器DISPLAY_CODE_3 EQU 63H ; 數碼管3段碼寄存器;-;-主程序入口-ORG 0000H ;主程序入口地址 SJMP MAIN ;跳至主程序ORG 000BH ;T0中斷入口地址 LJMP INT_T0 ;跳至中斷子程序MAIN: MOV SP,#70H SETB DIS1 SETB DIS2 SETB DIS3 LCALL INIT_T0MAIN_LOOP: LCALL GETADDATA ;采集AD MOV A,AD_DATA MOV B,#101 MUL AB MOV PWM_H,B ;數據處理 LCALL PROCESSDISPLAYDATA LCALL DISPLAY ;顯示 LJMP MAIN_LOOP;-;-;模數轉換;-GETADDATA: SETB ALE NOP NOP CLR ALE SETB START SETB EOC MOV R5,#2 LCALL DELAY CLR START ;CLR EOC JNB EOC,$ SETB OE ; MOV ADDATA_BUS,#0FFH MOV R5,#3 LCALL DELAY MOV AD_DATA,ADDATA_BUS RET;-;處理顯示數據;-PROCESSDISPLAYDATA: MOV DPTR,#NIXIETABLE ;- MOV A,PWM_H MOV B,#100 CLR C DIV AB MOV DISPLAY_NUM_1,A MOV A,B MOV B,#10 CLR C DIV AB MOV DISPLAY_NUM_2,A MOV DISPLAY_NUM_3,B ;- MOV A,DISPLAY_NUM_1 MOVC A,A+DPTR MOV DISPLAY_CODE_1,A ;- MOV A,DISPLAY_NUM_2 MOVC A,A+DPTR MOV DISPLAY_CODE_2,A ;- MOV A,DISPLAY_NUM_3 MOVC A,A+DPTR MOV DISPLAY_CODE_3,A RET;=;顯示;-DISPLAY: MOV DIS_BUS,DISPLAY_CODE_1 CLR DIS1 MOV R5,#1 LCALL DELAY SETB DIS1 ;- MOV DIS_BUS,DISPLAY_CODE_2 CLR DIS2 MOV R5,#1 LCALL DELAY SETB DIS2 ;- MOV DIS_BUS,DISPLAY_CODE_3 CLR DIS3 MOV R5,#1 LCALL DELAY SETB DIS3 RET;=;=;延時程序;-DELAY: MOV

注意事項

本文(畢業(yè)設計脈沖寬度調制系統(tǒng)(PWM))為本站會員(仙***)主動上傳,裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(點擊聯系客服),我們立即給予刪除!

溫馨提示:如果因為網速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網站聲明 - 網站地圖 - 資源地圖 - 友情鏈接 - 網站客服 - 聯系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網版權所有   聯系電話:18123376007

備案號:ICP2024067431-1 川公網安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網,我們立即給予刪除!