九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

數(shù)字電路與邏輯設(shè)計(jì)第6章1122中規(guī)模計(jì)數(shù)器.ppt

  • 資源ID:2836251       資源大小:1.64MB        全文頁數(shù):64頁
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)字電路與邏輯設(shè)計(jì)第6章1122中規(guī)模計(jì)數(shù)器.ppt

,M=12,例:分析下圖所示的時(shí)序邏輯電路,試畫出其狀態(tài)圖和在CP脈沖作用下QD、QC、QB、QA的波形,并指出計(jì)數(shù)器的模是多少?,N M 的實(shí)現(xiàn)方法:,設(shè)需用模M集成計(jì)數(shù)器(異步清零、同步置數(shù))組成模N 計(jì)數(shù)器,反饋清零法,反饋置數(shù)法,利用清零輸入端,使電路計(jì)數(shù)到N+1狀態(tài)時(shí)產(chǎn)生清零操作,越過后續(xù)MN個(gè)狀態(tài)實(shí)現(xiàn)模N計(jì)數(shù),利用計(jì)數(shù)器的置數(shù)功能,通過進(jìn)位輸出給計(jì)數(shù)器置數(shù)M-N,跳過0至M-N的狀態(tài)實(shí)現(xiàn)模N計(jì)數(shù),用集成計(jì)數(shù)器構(gòu)成任意進(jìn)制計(jì)數(shù)器小結(jié):,思考:若計(jì)數(shù)器為同步清零和異步置數(shù),其反饋清零法和反饋置數(shù)法與上述有何不同,N M 的實(shí)現(xiàn)方法:,采用多片M進(jìn)制計(jì)數(shù)器構(gòu)成,各芯片可以連接為串行進(jìn)位方式或并行進(jìn)位方式,對(duì)于擴(kuò)展為M的計(jì)數(shù)器再采用反饋清零或反饋置數(shù)進(jìn)行設(shè)計(jì),中規(guī)模計(jì)數(shù)器的級(jí)聯(lián),級(jí)聯(lián)后的中規(guī)模計(jì)數(shù)器同樣可以通過復(fù)位或者預(yù)置來改變整個(gè)計(jì)數(shù)器的模值。 有兩種基本的做法: a、一種是將級(jí)聯(lián)后的計(jì)數(shù)器看成是一個(gè)整體,直接通過預(yù)置或者復(fù)位來改變計(jì)數(shù)模值。 b、另一種是將單片的計(jì)數(shù)器先通過預(yù)置或復(fù)位到達(dá)一定的模值,級(jí)聯(lián)后的計(jì)數(shù)器的模值等于被級(jí)聯(lián)計(jì)數(shù)器模值的乘積。只有級(jí)聯(lián)后計(jì)數(shù)器的模值可以被分解為幾個(gè)整數(shù)的乘積時(shí),才可以用第二種方法。,3、雙時(shí)鐘4位二進(jìn)制同步可逆計(jì)數(shù)器 74LS193,集成計(jì)數(shù)器,異步清零:,異步預(yù)置數(shù):,3、雙時(shí)鐘4位二進(jìn)制同步可逆計(jì)數(shù)器 74LS193,同步加計(jì)數(shù):,同步減計(jì)數(shù):,CR =1,CR =0, LD=0,CR =0, LD=1,CP+=,CR =0, LD=1,CP-=,集成計(jì)數(shù)器,0 1 1 1 X X X X 保 持,集成計(jì)數(shù)器,74LS193時(shí)序圖,四位二進(jìn)制可逆計(jì)數(shù)器CT74193,中規(guī)模計(jì)數(shù)器,D A:高位低位 CPU ,CPD :雙時(shí)鐘輸入 R: 異步清除,高電平有效 LD: 異步預(yù)置,低電平有效 QD QA:高位低位,(一)邏輯符號(hào),加到最大值時(shí) 產(chǎn)生進(jìn)位信號(hào) QCC=0,減到最大值時(shí) 產(chǎn)生借位信號(hào) QDD=0,4. 異步十進(jìn)制計(jì)數(shù)器74xx290,(1)74xx290的功能,輸出端,異步計(jì)數(shù)器相關(guān)連接,時(shí)鐘輸入端,直接清零端,直接置9端,二進(jìn)制計(jì)數(shù)器,五進(jìn)制計(jì)數(shù)器,十進(jìn)制計(jì)數(shù)器,(1)74LS290的功能,二進(jìn)制計(jì)數(shù)器 CPA QA,五進(jìn)制計(jì)數(shù)器CPB QD QC QB,0,0,74xx290的功能表,在計(jì)數(shù)或清零時(shí),均要求R9(1)和R9(2)中至少一個(gè)必須為0,只有在R0(1)和R0(2)同時(shí)為1時(shí),才能清零,例 1:采用CT74290 設(shè)計(jì)M=6計(jì)數(shù)器,方法一:利用R端,M=6 態(tài)序表 N QDQCQBQA 0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0,0110,0 0 0 0,例 2:采用CT74290 設(shè)計(jì)M=7計(jì)數(shù)器,M=7 態(tài)序表 N QDQCQB QA 0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 1 0 0 1,方法二:利用S 端,1 0 0 1,0 1 1 0,例 3:用CT74290 設(shè)計(jì)M=10計(jì)數(shù)器,M=10 態(tài)序表 N QAQDQC QB 0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 1 0 0 0 6 1 0 0 1 7 1 0 1 0 8 1 0 1 1 9 1 1 0 0,要求:采用5421碼計(jì)數(shù),三、 寄存器,移位寄存器。 寄存器是一種常用的時(shí)序邏輯電路,用來存儲(chǔ)多位二進(jìn) 制代碼。這些代碼可以是數(shù)據(jù),指令,地址或其他信 息。由于一個(gè)觸發(fā)器只能存放一位二進(jìn)制代碼,因此, 用n個(gè)觸發(fā)器和一些起控制作用的門電路,可以組成 n位寄存器。 按功能劃分,寄存器可分為: 數(shù)碼寄存器 移位寄存器 1 、 數(shù)碼寄存器,1D CI,DI,存數(shù)指令,Q,Q,1 、 數(shù)碼寄存器 數(shù)碼寄存器是能夠存放二進(jìn)制數(shù)碼的電路。由于 觸發(fā)器具有記憶功能,因此可以作為數(shù)碼寄存器 的電路。 下圖為由D觸發(fā)器實(shí)現(xiàn)寄存一位數(shù)碼的寄存單元。 工作原理: 若DI=0, 在存數(shù)指令的作用下, Qn+1 =0, 若DI=1, 在存數(shù)指令的作用下, Qn+1=1。,這樣,在存數(shù)指令的作用下,將輸入信號(hào)的數(shù)碼DI存入到D觸發(fā)器中。 這樣寄存器只用來存放數(shù)碼,一般僅具有接收數(shù)碼,保持并清除原有數(shù)碼等功能,電路結(jié)構(gòu)和工作原理都比較簡(jiǎn)單。 一個(gè)多位的數(shù)碼寄存器,可以看作是多個(gè)觸發(fā)器的并行使用。,、移位寄存器 移位寄存器是一個(gè)同步時(shí)序電路,除具有存放數(shù) 碼的功能外,還具有將數(shù)碼移位的功能,即在時(shí)鐘CP 作用下,能夠把寄存器中存放的數(shù)碼依次左移或右移。, 下圖為由4個(gè)D觸發(fā)器構(gòu)成的4位左移的移位寄存器 由圖可見:Q1n+1=VI, Q2n+1=Q1n Q3n+1=Q2n,Q4n+1=Q3n,1D 4 CI,1D 4 CI,1D 4 CI,1D 4 CI,Q4,Q3,Q2,Q1,輸入 VI,CP,就實(shí)現(xiàn)了數(shù)碼在移存脈沖作用下,向左依位移存。 同理可構(gòu)成右移位寄存器。,1011,1,1,1,1,0,0,1,0,1,1, 雙向寄存器 同時(shí)具有左移和右移的功能,是左移還是右移取決于 移存控制信號(hào)M。 如圖所示 由圖可寫出各級(jí)D觸發(fā)器的狀態(tài)轉(zhuǎn)移方程: Q4n+1=AM+MQ3n 其中,A為右移輸入數(shù)碼 Q3n+1=MQ4n+MQ2n B為左移輸入數(shù)碼 Q2n+1=MQ3n+MQ1n Q1n+1=MQ2n+MB,當(dāng)M=1時(shí), Q4n+1=A Q3n+1=Q4n Q2n+1=Q3n Q1n+1=Q2n 因此,在移存脈沖CP作用下,實(shí)現(xiàn)右移移位寄存功能。 當(dāng)M=0時(shí), Q4n+1=Q3n Q3n+1=Q2n Q2n+1=Q1n Q1n+1=B 因此,在移存脈沖CP作用下,實(shí)現(xiàn)左移移位寄存功能。,所以在雙向移位寄存器中,我們可通過控制M的取 值來完成左右移功能。在上例中, M=1時(shí),完成右移功能; M=0時(shí),完成左移功能。,移位寄存器的邏輯功能: 既能寄存數(shù)碼,又能在時(shí)鐘脈沖的作用下使數(shù)碼向高位或向低位移動(dòng),移位寄存器,按移動(dòng)方式分,單向移位寄存器,雙向移位寄存器,左移位寄存器,右移位寄存器,移位寄存器的邏輯功能分類,實(shí)現(xiàn)數(shù)碼串并行轉(zhuǎn)換 通常信息在線路上的傳遞是串行傳送,而終 端的輸入或輸出往往是并行的,因而需對(duì)信號(hào)進(jìn)行 串并行轉(zhuǎn)換或并串轉(zhuǎn)換。, 移位寄存器的應(yīng)用,并入并出、并入串出、串入并出、串入串出,移位寄存器的應(yīng)用,并入并出數(shù)據(jù)寄存,并入串出多位數(shù)據(jù)共信道傳輸,串入并出共信道傳輸數(shù)據(jù)接收,串入串出數(shù)字延遲,可變長(zhǎng)度移位寄存器,A 、 串行轉(zhuǎn)換成并行 (5單位信息的串并轉(zhuǎn)換電路) 組成:由兩部分: 5位右移移位寄存器, 5個(gè)與門組成的并行讀出電路. 5單位信息:是由5位二進(jìn)制數(shù)碼組成一個(gè)信 息的代碼。 并行讀出脈沖必須在經(jīng)過5個(gè)移存脈沖后出 現(xiàn),并且和移存脈沖出現(xiàn)的時(shí)間錯(cuò)開。,1D CI,1D CI,1D CI,1D CI,1D CI,并行讀出指令,串行輸入,移存脈沖CP,D5,D4,D3,D2,D1,Q1,Q2,Q3,Q4,Q5,11001,分析:假設(shè)串行輸入的數(shù)碼為10011(左邊先入),串并行轉(zhuǎn)換狀態(tài)表,波形:,并行輸出脈沖,移存脈沖,Q1,Q2,Q3,Q4,Q5,1,1,0,0,1,1,0,0,1,B 并行轉(zhuǎn)換為串行(輸入是并行,輸出是串行) 組成: 右移移位寄存器和輸入電路 分析:由于是D觸發(fā)器,有Qn+1=D 由于D1=MD11=MD11,D2= 因此在移存脈沖作用下,狀態(tài)轉(zhuǎn)移方程為: Q1n+1=MD11, Q2n+1=MD12 + Q1n Q3n+1=MD13 + Q2n, Q4n+1=MD14 + Q3n Q5n+1=MD15 + Q4n,工作時(shí): (1) RD首先清零,使所有觸發(fā)器置0。 (2)當(dāng)并行取樣脈沖M=1時(shí),在第一個(gè)移存脈沖 CP的作用下,輸入信號(hào)D11D15并行存入 到各級(jí)觸發(fā)器中。 (3)存入以后并行取樣脈沖M=0,在移存的脈沖 CP的作用下,實(shí)行右移移存功能,從Q5端輸 出串行數(shù)碼。,假設(shè) 輸入的5位數(shù)碼為11001(Q1Q5), 第二組為10101。,5單位數(shù)碼并串行轉(zhuǎn)換狀態(tài)轉(zhuǎn)移表,M=1,M=1,M=0,波形:,RD,CP,并行 取樣,Q1,Q2,Q3,Q4,Q5,1,1,0,0,1,0,0,0,1,1,1,2,3,4,5,6,7,8,9,10,1,0,0,1,1,注:并行取樣脈沖M與移存脈沖之間有一定的關(guān)系。 若輸入信號(hào)的位數(shù)為N位,則由n級(jí)觸發(fā)器構(gòu)成移位寄存器。 移存脈沖頻率為 : fcp=n fm fcp為移存脈沖,fm并行取樣脈沖頻率, M的脈沖寬度應(yīng)比CP脈沖的寬。 移位寄存器用于脈沖節(jié)拍延遲。 輸入信號(hào)經(jīng)過n級(jí)移位寄存器后才到達(dá)輸出端,因此 輸出信號(hào)比輸入信號(hào)延遲了n個(gè)移存脈沖周期,這樣 就起到了節(jié)拍延遲的作用。延遲周期:td=ntcp。 還可構(gòu)成計(jì)數(shù)分頻電路。,3 集成移位寄存器 集成74LS195 首先看一下195 內(nèi)部電路構(gòu)成(189頁) 及外部端口的作用。 CR為異步清0端 J,K為 串行數(shù)據(jù)輸入端 D0,D1,D2,D3為并行數(shù)據(jù)輸入端。 SH/LD 為 移位/置入控制 端,分析: 根據(jù)D觸發(fā)器的狀態(tài)方程和激勵(lì)函數(shù),有 Q0n+1=SH/LD D0+SH/LD(JQ0n+KQ0n) Q1n+1=SH/LD D1+SH/LDQ0n Q2n+1=SH/LD D2+SH/LDQ1n Q3n+1=SH/LD D3+SH/LDQ2n 當(dāng)SH/LD=0時(shí),即置入功能時(shí),有 Q0n+1=D0 Q1n+1=D1,Q2n+1=D2 Q3n+1=D3 若SH/LD=1,即右移功能時(shí),有 Q0n+1=J Q+K Q0n Q1n+1=Q0n Q2n+1=Q1n Q3n+1=Q2n 74LS195的邏輯符號(hào)(書上190圖6214),74LS195的功能表, 集成移位寄存器74LS195的應(yīng)用 a 串行并行轉(zhuǎn)換 下圖所示為7位串行-并行轉(zhuǎn)換器,J K D0 D1 D2 D3 SH/LD CR Q0 Q1 Q2 Q3 Q3,J K D0 D1 D2 D3 SH/LD CR Q0 Q1 Q2 Q3 Q3,串輸入行DI,0,1,Q0 Q1 Q2 Q3,Q4 Q5 Q6,CR,CP,并行輸出,電路結(jié)構(gòu)分析: 串行輸入數(shù)據(jù)DI加到片的J,K和D0端。 片的D1端接0,作為標(biāo)志碼,片其余 的D2,D3接1。 片的串行數(shù)據(jù)輸入端J, K接片的Q3。 片的輸入端D0D3均接1。片的Q3輸出作 片和片的SH/LD輸入。,工作過程: 器件通過CR清0,使所有Q輸出均為0, 包括片的Q3=0。 由于此時(shí)片Q3=0,使片,片的SH/LD 均為0,在第一個(gè)CP上升沿到達(dá)時(shí),兩芯片均 執(zhí)行并行置入功能,使轉(zhuǎn)換器總輸出 “Q0Q6”=“D0 011111” 由于片Q3=1,使SH/LD=1,在下一個(gè)CP 上升沿到達(dá)時(shí),執(zhí)行右移寄存功能。使串行數(shù),數(shù)據(jù)的D1移入寄存器,使總輸出 “D0D6”= “D1D0 01111”。 在CP上升沿作用下,由于片Q3=1,使電路 繼續(xù)執(zhí)行右移移位功能,串行輸入數(shù)據(jù)逐個(gè)存 入到移位寄存器,直到“Q0Q6”=“D6D0”。 這時(shí)標(biāo)志碼0移到了片的Q3,使SH/LD=0, 在下一CP上升沿到達(dá)時(shí),執(zhí)行又一次的并行置入 功能,開始新的一組7位數(shù)碼的串并開始。, 并行串行轉(zhuǎn)換器 (書上頁) 工作過程: 在啟動(dòng)脈沖和時(shí)鐘作用下,執(zhí)行并 行置入功能。片。 啟動(dòng)脈沖消失,在作用下,由于標(biāo)志位 的存在,使門輸出為,使得 ,執(zhí)行右移移位寄存功能。 以后在移存脈沖作用,并行輸入數(shù)據(jù)由片的 逐位串行輸出,同時(shí)又不斷地將片的串 行輸入端,的數(shù)據(jù)移位寄存到寄存器。,第個(gè)時(shí): ,串出數(shù)據(jù) (Q3), 當(dāng)?shù)趥€(gè)脈沖到達(dá)后,片的 ,片,的 其余 輸入端均為,門的輸入全為 ,使 。 標(biāo)志著這一組位并行輸入數(shù)據(jù)轉(zhuǎn)換結(jié) 束。同時(shí)在下一時(shí)鐘作用下,執(zhí)行下一組 位數(shù)據(jù)的并行置入,進(jìn)行下一組并行數(shù)據(jù)的 并串轉(zhuǎn)換。,74LS194的功能表,0,0,1,8,L,0,0,1,1,7,H,1,0,1,1,6,0,0,1,0,1,5,1,1,1,0,1,4,D0,D1,D2,D3,D0,D1,D2,D3,1,1,1,3,非上升沿,1,2,0,0,0,0,0,1,D0,D1,D2,D3,右移DSR,左移DSL,MB,MA,Q0,Q1,Q2,Q3,并行輸入,時(shí)鐘脈沖CP,串行輸入,控制信號(hào),輸 出,輸 入,清零 RD,序號(hào),異步清零,同步置數(shù),低位向高位移動(dòng)(右移),高位向低位移動(dòng)(左移),保持,按移存規(guī)律構(gòu)成的任意模值計(jì)數(shù)分頻器稱為移存型計(jì)數(shù)器。常用的移存型計(jì)數(shù)器有 環(huán)形計(jì)數(shù)器和扭環(huán)計(jì)數(shù)器。,用集成移位寄存器實(shí)現(xiàn)任意模值 的計(jì)數(shù)分頻,移位寄存器構(gòu)成的同步移位計(jì)數(shù)器,1. 環(huán)形計(jì)數(shù)器,環(huán)形計(jì)數(shù)器的特點(diǎn): 電路簡(jiǎn)單,N位移位寄存器可以計(jì)N個(gè)數(shù),實(shí)現(xiàn)模N計(jì)數(shù)器。狀態(tài)為1的輸出端的序號(hào)等于計(jì)數(shù)脈沖的個(gè)數(shù),通常不需要譯碼電路。,1、環(huán)形計(jì)數(shù)器,例1:用CT1195構(gòu)成M=4 的環(huán)形計(jì)數(shù)器。,態(tài)序表 ,移位寄存器構(gòu)成的移位計(jì)數(shù)器,1. 環(huán)形計(jì)數(shù)器,1、 環(huán)形計(jì)數(shù)器,1.電路除了有效計(jì)數(shù)循環(huán)外,還有五個(gè)無效循環(huán); 2.不能自啟動(dòng); 3. 工作時(shí)首先在SH/LD加啟動(dòng)信號(hào)進(jìn)行預(yù)置.,注意,1、 環(huán)形計(jì)數(shù)器,1. 連接方法: 將移位寄存器的最后一級(jí)輸出Q反饋到第一級(jí)的、K輸入端; 2. 判斷觸發(fā)器個(gè)數(shù)n : 計(jì)數(shù)器的模為(n為所需移位寄存器的位數(shù)),設(shè)計(jì)方法,2扭環(huán)形計(jì)數(shù)器,為了增加有效計(jì)數(shù)狀態(tài),擴(kuò)大計(jì)數(shù)器的模,可用扭環(huán)形計(jì)數(shù)器。,一般來說,N位移位寄存器可以組成模2N的扭環(huán)形計(jì)數(shù)器,只需將末級(jí)輸出反相后,接到串行輸入端。,移位寄存器構(gòu)成的移位計(jì)數(shù)器,2 、 扭環(huán)形計(jì)數(shù)器,例1: M=8 的 扭環(huán)形計(jì)數(shù)器。,態(tài)序表 Q0 Q1 Q2 Q 3 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 1 1 1 0 0 1 1 0 0 0 1,移位寄存器構(gòu)成的移位計(jì)數(shù)器,1. 電路除了有效計(jì)數(shù)循環(huán)外,還有一個(gè)無效循環(huán); 2. 不能自啟動(dòng); 3. 工作時(shí)首先在R加啟動(dòng)信號(hào)進(jìn)行清零.,注意,2、 扭環(huán)形計(jì)數(shù)器,1. 連接方法: 將移位寄存器的最后一級(jí)輸出Q經(jīng)反相器后反饋到第一級(jí)的、K輸入端; 2. 判斷觸發(fā)器個(gè)數(shù)n : 計(jì)數(shù)器的模為2n (n為移位寄存器的位數(shù)),設(shè)計(jì)方法,2、 扭環(huán)形計(jì)數(shù)器,3移位計(jì)數(shù)器的設(shè)計(jì),移位計(jì)數(shù)器必定存在非工作循環(huán),無論環(huán)形或扭環(huán)形移位計(jì)數(shù)器,自啟動(dòng)電路設(shè)計(jì)均只改變第一級(jí)輸入端,移位計(jì)數(shù)器的設(shè)計(jì)主要是自啟動(dòng)設(shè)計(jì):選定工作循環(huán)并使移位計(jì)數(shù)器自動(dòng)工作于工作循環(huán)中,可以選擇的自啟動(dòng)方案分別是:,例6-12:應(yīng)用4位移位寄存器74195 , 實(shí)現(xiàn)模12同步計(jì)數(shù)。,小結(jié):用74195構(gòu)成其余不同模值時(shí),結(jié)構(gòu) 不變,只需改變 并行輸入數(shù)據(jù)即可。,例:應(yīng)用移位寄存器和譯碼器可以構(gòu)成程序計(jì)數(shù)分頻器。,任意模計(jì)數(shù)的實(shí)現(xiàn)方式一般性討論,同步計(jì)數(shù)器:控制各級(jí)的T端,異步計(jì)數(shù)器:控制各級(jí)的CP端,移位計(jì)數(shù)器:控制第一級(jí)的移入輸入端,基于觸發(fā)器設(shè)計(jì),可以對(duì)相應(yīng)端進(jìn)行操作,反饋置數(shù)法,反饋清零法,具有置數(shù)或清零端的觸發(fā)器、集成計(jì)數(shù)器,本章小結(jié),本章主要討論了幾種常用的時(shí)序模塊,如寄存器、移位寄存器計(jì)數(shù)器等。 移位寄存器分為左移、右移及雙向移動(dòng)等。 計(jì)數(shù)器可分為同步、異步兩種;同步計(jì)數(shù)器的工作頻率高,異步計(jì)數(shù)器的電路簡(jiǎn)單。,本章重點(diǎn)難點(diǎn),重點(diǎn): 1. 會(huì)識(shí)別中規(guī)模時(shí)序模塊的功能; 2. 熟悉其功能擴(kuò)展; 3. 具備應(yīng)用時(shí)序模塊及組合模塊構(gòu)成給定邏輯功能電路的能力。 難點(diǎn): 靈活運(yùn)用模塊設(shè)計(jì)復(fù)雜邏輯功能電路。,

注意事項(xiàng)

本文(數(shù)字電路與邏輯設(shè)計(jì)第6章1122中規(guī)模計(jì)數(shù)器.ppt)為本站會(huì)員(tian****1990)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!