九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

數(shù)字電路第三章習題課.ppt

  • 資源ID:2836561       資源大小:328.31KB        全文頁數(shù):12頁
  • 資源格式: PPT        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)字電路第三章習題課.ppt

3. 同步練習,1. 從結(jié)構(gòu)看,組合邏輯電路由門電路構(gòu)成,不含 ,也不含 ,信號從輸入開始單向傳輸?shù)捷敵觥?2. 組合邏輯電路是指任何時刻電路的輸出僅由當時的 決定。 3. 用文字、符號或者數(shù)碼表示特定對象的過程,叫做 。 4. 用二進制代碼表示有關(guān)對象的過程叫 ;n位二進制編碼器有 個輸入,有 個輸出。 5. 將十進制數(shù)的十個數(shù)字編成二進制代碼的過程叫 。,1.存儲電路、反饋 2.輸入 3.編碼 4.二進制編碼2n,n 5.二-十進制編碼(BCD編碼),6. 在幾個信號同時輸入時,只對優(yōu)先級別最高的進行編碼叫 。 7. 把代碼的特定含義翻譯出來的過程叫 ;n位二進制譯碼器有 個輸入,有 個輸出,工作時譯碼器只有一個輸出有效。 8. 兩個1位二進制數(shù)相加叫做 。兩個同位的加數(shù)和來自低位的進位三者相加叫做 。 9. 從若干輸入數(shù)據(jù)中選擇一路作為輸出的電路叫 。 10. 當輸入信號改變狀態(tài)時,輸出端可能出現(xiàn)虛假過渡干擾脈沖的現(xiàn)象叫 。,6.優(yōu)先編碼 7.譯碼 n 2n 8.半加 全加 9.數(shù)據(jù)選擇器 10. 競爭-冒險,11. 異或邏輯門完成的運算也稱為 。 12. 將1999個1異或起來得到的結(jié)果為 ;而2000個1異或的結(jié)果是 。 13. 一個二進制編碼器若需要對12個輸入信號進行編碼,則要采用 位二進制代碼。 14. 5變量輸入譯碼器,其譯碼輸出信號最多應(yīng)有 個。 15. 輸出高電平有效的4線-16線譯碼器的輸入ABCD=1010時,輸出Y15Y0= 。,11.模2加 12.1(奇數(shù)個1) 0 (偶數(shù)個1) 13.4 14.32=25 15.0000010000000000,16. 輸出低電平有效的二-十進制譯碼器的輸入8421BCD碼為0110時,其輸出Y9Y0= 。 17. 全加器與半加器的區(qū)別是 。 18. 對于高電平是輸出有效電平的譯碼器,每個輸出都是 。若以這種類型的譯碼器實現(xiàn)組合邏輯電路時,還需要增加 。 19. 對于低電平是輸出有效電平的譯碼器,每個輸出都是 。若以這種類型的譯碼器實現(xiàn)組合邏輯電路時,還需要增加 。,16.1110111111 18.對應(yīng)輸入的最小項 或門 19.對應(yīng)輸入的最小項的非 與非門,二、單向選擇題 1. 在二進制譯碼器中,若輸入有4位代碼,則輸出有( )信號。 2個 4個 8個 16個 2. 用高電平為輸出有效的譯碼器實現(xiàn)組合邏輯電路時,還需要( )。 與非門 或非門 與門 或門 3. 用低電平為輸出有效的譯碼器實現(xiàn)組合邏輯電路時,還需要( )。 與非門 或非門 與門 或門,1. 2. 3. ,4. 在下列電路中,只有( )屬于組合邏輯電路。 觸發(fā)器 計數(shù)器 數(shù)據(jù)選擇器 寄存器 5. 在組合邏輯電路的常用設(shè)計方法中,可以用( )來表示邏輯抽象的結(jié)果。 真值表 狀態(tài)表 狀態(tài)圖 特性方程,6. 組合邏輯電路的競爭-冒險是由于( )引起的。 電路不是最簡 電路有多個輸出 電路中存在延遲 電路使用不同的門電路 7. 能實現(xiàn)從多個輸入端中選出一路作為輸出的電路稱為( )。 觸發(fā)器 計數(shù)器 數(shù)據(jù)選擇器 譯碼器,4. 5. 6. 7. ,8. 能完成兩個1位二進制數(shù)相加并考慮到低位來的進位的器件稱為( )。 編碼器 譯碼器 全加器 半加器 9. 只考慮本位數(shù)而不考慮低位來的進位的加法稱為( )。 全加 半加 全減 半減 10. 用來判斷電路全部輸入中1的個數(shù)奇偶性的電路稱為( )。 觸發(fā)器 計數(shù)器 數(shù)據(jù)選擇器 奇偶校驗器 11. 用代碼代表特定信號或者將代碼賦予特定含義的過程稱為( )。 譯碼 編碼 數(shù)據(jù)選擇 奇偶校驗,8. 9. 10. 11. ,12. 把代碼的特定含義翻譯出來的過程稱為( )。 譯碼 編碼 數(shù)據(jù)選擇 奇偶校驗 13. 如需要判斷兩個二進制數(shù)的大小或相等,可以使用 ( )電路。 譯碼器 編碼器 數(shù)據(jù)選擇器數(shù)據(jù)比較器 14. 半導體數(shù)碼管的每個顯示線段都是由( )構(gòu)成的。 燈絲 發(fā)光二極管發(fā)光三極管熔絲,12. 13. 14. ,3.試用四選一數(shù)據(jù)選擇器實現(xiàn)函數(shù)(10分),3.試用四選一數(shù)據(jù)選擇器實現(xiàn)函數(shù),答案:四選一數(shù)據(jù)選擇器得輸出為: 而欲實現(xiàn)的邏輯函數(shù)為: 令 則 將F得表達式與Y的表達式對比,得: 畫出邏輯圖,,4.試用線線譯碼器74LS138和門電路實現(xiàn)一個判別電路,當輸入的三位二進制代碼能被整除時電路輸出為,否則為。(10分),步驟:1.列真值表 2.寫出邏輯函數(shù)表達式 3.畫邏輯電路圖,S1=1,S2=S3=0的時候譯碼器正常工作,答案:根據(jù)題意,寫出真值表,,由表,由于74LS138的輸出 為,因此令,則得,根據(jù)上式畫出邏輯圖,如圖所示。,

注意事項

本文(數(shù)字電路第三章習題課.ppt)為本站會員(tian****1990)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!