九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

數(shù)字電路第5章時序邏輯電路.ppt

  • 資源ID:2836562       資源大小:5.88MB        全文頁數(shù):109頁
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)字電路第5章時序邏輯電路.ppt

第5章 時序邏輯電路,5.1時序邏輯電路的特點和表示方法 5.2時序電路的分析方法 5.3寄存器 5.4計數(shù)器 5.5順序脈沖發(fā)生器 5.6時序電路的設(shè)計方法,5.1 時序邏輯電路的特點,邏輯功能上的特點(時序電路定義) 任一時刻的穩(wěn)定輸出不僅決定于該時刻的輸入,而且和電路原來狀態(tài)有關(guān)。 結(jié)構(gòu)上的特點 電路中包含存儲元件通常由觸發(fā)器構(gòu)成。 存儲元件的輸出和電路輸入間存在著反饋連接,這是時序電路區(qū)別于組合電路的重要特點之一。,時序邏輯電路的框圖表示,tn和tn+1:兩個相鄰的離散時間。,現(xiàn)在的 輸入信號,現(xiàn)在的 輸出信號,存儲電路現(xiàn)在的輸入信號,存儲電路現(xiàn)在的輸出信號,F(tn )=WX(tn ),Q(tn ) (5-1) 輸出方程,Z(tn )=HX(tn),Q(tn) (5-3) 驅(qū)動方程,Q(tn+1 )=GZ(tn),Q(tn) (5-2) 狀態(tài)方程,時序電路分類,按觸發(fā)方式分兩類 同步時序電路:所有觸發(fā)器共用一個時鐘信號,即所有觸發(fā)器的狀態(tài)轉(zhuǎn)換發(fā)生在同一時刻 異步時序電路:觸發(fā)器的狀態(tài)轉(zhuǎn)換不一定發(fā)生在同一時刻。,時序電路分類,按輸出方式分兩類 米里型:時序電路的輸出狀態(tài)與輸入和現(xiàn)態(tài)有關(guān)的電路稱為米里型 莫爾型:輸出狀態(tài)只與現(xiàn)態(tài)有關(guān)的電路,稱為莫爾型。,按觸發(fā)方式分兩類,時序電路的邏輯功能表示法,邏輯方程式,F(tn )=WX(tn ),Q(tn ) (5-1) 輸出方程,Z(tn )=HX(tn),Q(tn) (5-3) 驅(qū)動方程,Q(tn+1 )=GZ(tn),Q(tn) (5-2) 狀態(tài)方程,時序電路的邏輯功能表示法,狀態(tài)轉(zhuǎn)換表、狀態(tài)圖、時序圖(工作波形圖) 時序電路的現(xiàn)態(tài)和次態(tài),是由構(gòu)成該時序電路的存儲電路(一般由觸發(fā)器組成)的現(xiàn)態(tài)和次態(tài)分別表示的,那么就可以用分析觸發(fā)器的有關(guān)方法,列出時序電路的狀態(tài)表,畫出時序電路的卡諾圖、狀態(tài)圖和時序圖。 以上四種表示方法從不同側(cè)面突出了時序電路的邏輯功能,它們本質(zhì)上是相通的,可相互轉(zhuǎn)換。在實際中根據(jù)需要選用。,5.2時序電路的分析方法,分析一個時序電路,就是要找出給定時序電路的邏輯功能。 對具體電路而言,就是通過分析找出電路的狀態(tài)和電路的輸出在輸入信號和時鐘信號作用下的變化規(guī)律。,分析電路組成,寫邏輯方程式 根據(jù)給定電路,寫出:時鐘方程、驅(qū)動方程、輸出方程,分析步驟,求狀態(tài)方程 將驅(qū)動方程代入觸發(fā)器特性方程,求出狀態(tài)方程。,將任何一組輸入變量及電路的初始狀態(tài)的取值代入狀態(tài)方程和輸出方程,即可計算出電路的次態(tài)值和相應(yīng)輸出值,然后繼續(xù)這個過程,直到考慮了所有可能的狀態(tài)為止。將這些計算結(jié)果列成真值表的形式,就得到狀態(tài)轉(zhuǎn)換真值表。,概括邏輯功能,進行計算和列狀態(tài)轉(zhuǎn)換真值表,分析過程示意圖如下,給定電路,寫時鐘方程,輸出方程,驅(qū)動方程,狀態(tài)方程,特性方程,計算,CP觸發(fā)沿,狀態(tài)表,時序圖,狀態(tài)圖,概括邏輯功能,例5-1,試分析圖5-2所示時序電路的邏輯功能。,根據(jù)圖5-2所示邏輯圖寫出:,輸出方程,時鐘方程:CP1=CP2=CP3=CP,驅(qū)動方程:,J1=1 K1=1,例5-1,J1=1 K1=1,例5-1,求狀態(tài)轉(zhuǎn)換表和狀態(tài)轉(zhuǎn)換圖,畫波形圖。設(shè)電路的初始狀態(tài),將這一結(jié)果作為新的初始狀態(tài),再代入狀態(tài)方程和輸出方程。將結(jié)果添入表中得到狀態(tài)轉(zhuǎn)換表。,0,表5-2是狀態(tài)轉(zhuǎn)換表。,例5-1,由狀態(tài)轉(zhuǎn)換表很容易畫出狀態(tài)轉(zhuǎn)換圖,例5-1,Q1,Q2,Q3,F,圖5-7 例5-1的波形圖,1,0,0,0,1,1,0,該電路是一個六進制計數(shù)器。,有效狀態(tài),有效循環(huán),自啟動,例5-2,試分析圖5-5所示時序電路的邏輯功能。,解:根據(jù)圖5-5寫出:,驅(qū)動方程,時鐘方程 CP1=CP2=CP,輸出方程,例5-2,根據(jù)以上方程計算得狀態(tài)表。,驅(qū)動方程,輸出方程,例5-2,確定邏輯功能:X=0,回到00狀態(tài),且F=0;只有連續(xù)輸入四個或四個以上個1時,才使F=1否則F=0。故該電路稱作1111序列檢測器。,例5-3,試分析圖5-7所示時序電路的邏輯功能。,解:圖5-7所示電路為異步時序電路。根據(jù)電路寫出:,時鐘方程: CP1=CP3=CP CP2=Q1,輸出方程:,K1=1 J2=K2=1,K3=1,驅(qū)動方程:,CP下降沿到來時方程有效,Q1下降沿到來時方程有效,CP下降沿到來時方程有效,根據(jù)驅(qū)動方程寫出狀態(tài)方程:,例5-3,K1=1 J2=K2=1,K3=1,分析異步時序電路時,只有確定狀態(tài)方程有效,才可以將電路的初始狀態(tài)和輸入變量取值代入狀態(tài)方程。,列狀態(tài)轉(zhuǎn)換表,畫出狀態(tài)轉(zhuǎn)換圖,CP,Q1,CP,例5-3,Q1,Q2,Q3,圖5-9 例5-3 的波形圖,狀態(tài)轉(zhuǎn)換圖如圖5-8所示。,例5-3,由分析可知,此例是異步五進制計數(shù)器。,5.3 寄存器,在數(shù)字系統(tǒng)和計算機中,經(jīng)常要把一些數(shù)據(jù)信息暫時存放起來,等待處理。 寄存器就是能暫時寄存數(shù)碼的邏輯器件。 寄存器內(nèi)部的記憶單元是觸發(fā)器。 一個觸發(fā)器可以存儲一位二進制數(shù),N個觸發(fā)器就可以存儲N位二進制數(shù)。 主要數(shù)碼寄存器、鎖存器及移位寄存器。,寄存器的作用、功能、分類及組成,數(shù)碼寄存器具有存儲二進制代碼,并可輸出所存二進制代碼的功能。具有雙拍和單拍兩種工作方式。 雙拍工作方式是指接收數(shù)碼時,先清零,再接收數(shù)碼。 單拍工作方式是指只需一個接收脈沖就可以完成接收數(shù)碼的工作方式。 集成數(shù)碼寄存器幾乎都采用單拍工作方式。 數(shù)碼寄存器要求所存的代碼與輸入代碼相同,故由D觸發(fā)器構(gòu)成。,數(shù)碼寄存器,圖5-10為四位上升沿觸發(fā)D觸發(fā)器74LS175的邏輯圖。在時鐘脈沖CP上升沿到來時,實現(xiàn)數(shù)據(jù)的并行輸入-并行輸出。,數(shù)碼寄存器,鎖存器,鎖存器有如下特點: 鎖存信號沒到來時,鎖存器的輸出狀態(tài)隨輸入信號變化而變化(相當(dāng)于輸出直接接到輸入端,即所謂“透明”),當(dāng)鎖存信號到達(dá)時,鎖存器輸出狀態(tài)保持鎖存信號跳變時的狀態(tài)。 如圖為一位D鎖存器的邏輯圖。,D=0時,Q=0;,CP由1變0時,由于CP=0,將D和 信號封鎖住,基本RS觸發(fā)器的輸出狀態(tài)不變,實現(xiàn)了鎖存功能。,當(dāng)CP=1時,兩個與或非門構(gòu)成基本RS觸發(fā)器 :,若D=l,得,鎖存器,當(dāng)CP由0變1時,即鎖存信號到達(dá)時,Q的狀態(tài)被鎖存。,如圖為八位D鎖存器74LS 373 的邏輯圖。 三態(tài)輸出。,鎖存器,鎖存器,圖5-12 八位D鎖存器引腳圖,移位寄存器,移位寄存器不僅可以存儲代碼,還可以將代碼移位。 四位右移移位寄存器的原理:,各觸發(fā)器的次態(tài)方程為:,四個脈沖過去之后,移位寄存器的波形圖如圖示:,可用于:數(shù)據(jù)的串行-并行轉(zhuǎn)換和數(shù)據(jù)的并行-串行轉(zhuǎn)換。,四位雙向移位寄存器74194的邏輯圖,74194的外引腳排列圖,例5-4,試分析圖5-17所示電路的邏輯功能。,解:兩片74194組成八位右移移位寄存器。 并行輸入數(shù)據(jù)為0N1N2N3N4N5N6N7,右移串行輸入數(shù)據(jù)為SR=1。,0 N1N2N3 N4 N5 N6 N7,1,0,S1S0=01右移,N7,N6,N5,N4,N3,N2,N1,0,0,0,1,S1S0=11 送數(shù),1 0 N1N2 N3 N4 N5 N6,1 1 0 N1 N2 N3N4 N5,1 1 1 0 N1 N2 N3 N4,1 1 1 1 0N1 N2 N3,1 1 1 1 1 0 N1 N2,1 1 1 1 1 1 0 N1,1 1 1 1 1 1 1 0,1,啟動命令ST=0使S1S0=11送數(shù)。,交互,5.4計數(shù)器,計數(shù):具有記憶輸入脈沖個數(shù)的作用稱為計數(shù)。 計數(shù)器:具有記憶輸入脈沖個數(shù)功能的電路稱為計數(shù)器。 用途:計數(shù)器是現(xiàn)代數(shù)字系統(tǒng)中不可缺少的組成部分。主要用于計數(shù)、定時、分頻和進行數(shù)字計算等。如各種數(shù)字儀表(萬用表、測溫表),各種數(shù)字表、鐘等。,計數(shù)器的分類,按照各個觸發(fā)器狀態(tài)更新情況的不同可分為: 同步計數(shù)器:各觸發(fā)器受同一時鐘脈沖輸入計數(shù)脈沖控制,同步更新狀態(tài)。 異步計數(shù)器:有的觸發(fā)器受計數(shù)脈沖控制,有的是以其它觸發(fā)器輸出為時鐘脈沖,狀態(tài)更新有先有后。,計數(shù)器的分類,按照計數(shù)長度(計數(shù)容量)的不同分為: N進制:N為2的自然數(shù),N叫做計數(shù)器的容量或計數(shù)長度。 對于計數(shù)器的一位而言,電路有N個狀態(tài),該計數(shù)器就為N進制計數(shù)器。例如八進制計數(shù)器電路,一位八進制計數(shù)器應(yīng)有八個狀態(tài),二位八進制計數(shù)器應(yīng)有六十四個狀態(tài)。n位八進制計數(shù)器應(yīng)有8n個狀態(tài)。,二進制:N進制的特例。此時,N=2,對于n位二進制計數(shù)器,共有2n (2、4、8、16、32.)個狀態(tài)。,十進制:N進制的特例。此時,N=10。一位十進制計數(shù)器應(yīng)有十個狀態(tài),二位十進制計數(shù)器應(yīng)有一百個狀態(tài)。n位十進制計數(shù)器應(yīng)有10n個狀態(tài)。,計數(shù)器的分類,按照計數(shù)器數(shù)值增減情況不同分為: 加法計數(shù)器:隨計數(shù)脈沖的輸入遞增計數(shù)。 減法計數(shù)器:隨計數(shù)脈沖的輸入遞減計數(shù)。 可逆計數(shù)器:隨計數(shù)脈沖的輸入可增可減地計數(shù)。 目前,集成計數(shù)器的種類很多,無需用戶用觸發(fā)器組成計數(shù)器,因此本節(jié)主要介紹集成計數(shù)器。,集成計數(shù)器,二進制計數(shù)器 8421編碼十進制計數(shù)器(CC40160) 二五十進制異步加法計數(shù)器 可逆(加/減)計數(shù)器 用中規(guī)模集成計數(shù)器構(gòu)成任意進制計數(shù)器 移位寄存器型計數(shù)器 扭環(huán)型計數(shù)器,二進制計數(shù)器,四位同步二進制加法計數(shù)器74161電路,清零端,預(yù)置數(shù)端(送數(shù)),保持:P=0,T=1;P=1,T=0,74161的功能表,二進制計數(shù)器,表5-5 74161功能表,74161的邏輯符號和外引腳圖,二進制計數(shù)器,74161的邏輯符號,二進制計數(shù)器,74161的波形圖, 8421編碼十進制計數(shù)器,8421編碼十進制計數(shù)器74160是TTL型十進制加法計數(shù)器。CC40160是MOS型十進制加法計數(shù)器。 CC40160是由TTL系列74160移植過來的,邏輯功能及引腳排列圖完全一致。 其特點是: 計數(shù)器的初始值可由預(yù)置端任意置入。 電路內(nèi)部采用快速提前進位,為級聯(lián)方便而專門有進位輸出端。 預(yù)置數(shù)與CP同步,清零與CP異步。,CC40160功能表如表5-6所示。,表5-6 CC40160功能表,8421編碼十進制計數(shù)器,CC40160的波形圖如圖5-30所示。,8421編碼十進制計數(shù)器,CC40160的外引腳排列圖如圖5-31所示。,8421編碼十進制計數(shù)器,二五十進制異步加法計數(shù)器,二五十進制異步加法計數(shù)器74290(T1290)的邏輯圖如圖所示。,二進制計數(shù),8421碼十進制計數(shù)器,5421碼十進制計數(shù)器,復(fù)位,置位,表5-7是74290的功能表。,二五十進制異步加法計數(shù)器,二五十進制異步加法計數(shù)器,如圖是74290的外引腳排列圖。,74290的應(yīng)用: 實現(xiàn)二進制和五進制計數(shù),實現(xiàn)8421碼十進制計數(shù):52=10,二五十進制異步加法計數(shù)器,實現(xiàn)5421碼模10計數(shù),實現(xiàn)任意進制計數(shù) 實現(xiàn)模7加法計數(shù)器:主要的7個狀態(tài)00000110為主循環(huán)狀態(tài),0111出現(xiàn)后瞬間即逝。,二五十進制異步加法計數(shù)器,可逆(加/減)計數(shù)器,可逆計數(shù)器亦稱加/減計數(shù)器。 同步加/減計數(shù)器有雙時鐘結(jié)構(gòu)單時鐘結(jié)構(gòu) 雙時鐘結(jié)構(gòu):有兩個計數(shù)脈沖輸入端的加/減計數(shù)器為雙時鐘結(jié)構(gòu)。其中一個為加法計數(shù)脈沖輸入端,另一個為減法計數(shù)脈沖輸入端。 單時鐘結(jié)構(gòu):有一個計數(shù)脈沖輸入端的加/減計數(shù)器 同步十進制加減計數(shù)器74190為單時鐘結(jié)構(gòu)。它是靠加/減控制端的控制來實現(xiàn)加法或減法計數(shù)的。,預(yù)置數(shù) 只要在置入端加入負(fù)脈沖,就可以對計數(shù)器置數(shù),Q3Q2Q1Q0=D3D2D1D0。,加/減計數(shù) M=0,做加法計數(shù),M=1時,做減法計數(shù)。,利用允許端可以使多片級聯(lián)為同步工作方式。低位片計數(shù)器的MAX/MIN接到高位片的允許輸入端,這樣,只有計數(shù)到最大/最小時,才允許高位片計數(shù)器計數(shù),否則不允許計數(shù)。,可逆(加/減)計數(shù)器,保持 允許端為低電平時,做加/減計數(shù)。 為高電平時,加減計數(shù)器處于保持狀態(tài)。,用中規(guī)模集成計數(shù)器構(gòu)成任意進制計數(shù)器,利用中規(guī)模集成計數(shù)器構(gòu)成任意進制計數(shù)器的方法歸納起來有乘數(shù)法、復(fù)位法、和置數(shù)法。,乘數(shù)法,將兩個計數(shù)器串接起來,即計數(shù)脈沖接到N進制計數(shù)器的時鐘輸入端,N進制計數(shù)器的輸出接到M進制計數(shù)器的時鐘輸入端,則兩個計數(shù)器一起構(gòu)成了NM進制計數(shù)器。 74290就是典型例子,二進制和五進制計數(shù)器構(gòu)成25=10進制計數(shù)器。,復(fù)位法,用復(fù)位法構(gòu)成N進制計數(shù)器所選用的中規(guī)模集成計數(shù)器的計數(shù)容量必須大于N。當(dāng)輸入N個計數(shù)脈沖之后,計數(shù)器應(yīng)回到全0狀態(tài)。,置數(shù)法,置數(shù)法即對計數(shù)器進行預(yù)置數(shù)。 在計數(shù)器計到最大數(shù)時,置入計數(shù)器狀態(tài)轉(zhuǎn)換圖中的最小數(shù),作為計數(shù)循環(huán)的起點;可以在計數(shù)到某個數(shù)之后,置入最大數(shù),然后接著從0開始計數(shù)。 如果用N進制計數(shù)器構(gòu)成M進制計數(shù)器,需要跳過(NM)個狀態(tài)?;蛟贜進制計數(shù)器計數(shù)長度中間跳過(NM)個狀態(tài)。,例:,試用74161采用復(fù)位法構(gòu)成十二進制計數(shù)器。 解:對于十二進制計數(shù)器,當(dāng)輸入十二個計數(shù)脈沖后,Q3Q2Q1Q0 =0000,使計數(shù)器回到全0狀態(tài)。而對于四位二進制加法計數(shù)器,輸入十二個計數(shù)脈沖后,Q3Q2Q1Q0 =1100,所以要用74161構(gòu)成十二進制計數(shù)器,當(dāng)計到Q3Q2Q1Q0=1100,應(yīng)使計數(shù)器Q3Q2Q1Q0=0000。,置0復(fù)位法,使 ,當(dāng)計到Q3Q2Q1Q0=1100,計數(shù)器Q3Q2Q1Q0=0000。實現(xiàn)了十二進制計數(shù)。,置0復(fù)位法,Q3Q2Q1Q0=1100,Q3Q2Q1Q0=0000,對于置零復(fù)位法,隨著計數(shù)器被置0,復(fù)位信號隨之消失,所以復(fù)位信號持續(xù)時間很短,電路的可靠性不高。,預(yù)置端送0。計數(shù)器計數(shù)到Q3Q2Q1Q0=1011時,應(yīng)具備送數(shù)條件即 ,令 ,當(dāng)計數(shù)器計到Q3Q2Q1Q0=1011時, =0。第十二個計數(shù)脈沖到達(dá)時,將D3D2D1D0=0000置入計數(shù)器,從而使計數(shù)器復(fù)位。,預(yù)置端送0,預(yù)置端送0,Q3Q2Q1Q0=1011,Q3Q2Q1Q0=0000,例:置數(shù),試用74161采用置數(shù)法構(gòu)成十二進制計數(shù)器。 解:置最小數(shù):74161的計數(shù)長度為十六。十二進制計數(shù)器的計數(shù)長度等于十二。預(yù)置數(shù)應(yīng)是(16-12)=4,即D3D2D1D0=0100。即計數(shù)器計到最大數(shù)1111之后,應(yīng)使計數(shù)器處于預(yù)置數(shù)工作狀態(tài)。,置最小數(shù),Q3Q2Q1Q0=1111,QCC=1,Q3Q2Q1Q0=0100,置最大數(shù),置最大數(shù)須跳過1011、1100、1101、 1110四個狀態(tài),因此令,Q3Q2Q1Q0=1010,Q3Q2Q1Q0=1111,置最大數(shù),若跳過的四個狀態(tài)取0110、0111、1000、1001,則Q3Q2Q1Q0=0101時, 即,Q3Q2Q1Q0=0101,Q3Q2Q1Q0=1010,例:用74161構(gòu)成十進制計數(shù)器。,當(dāng)下一個計數(shù)脈沖一到,各置數(shù)端數(shù)據(jù)立即送到輸出端,預(yù)置數(shù)端D3D2D1D0=0000。,解:當(dāng)74161計數(shù)到Q3Q2Q1Q0=1001時,使 =0,為置數(shù)創(chuàng)造了條件。,電路如圖所示。在連續(xù)計數(shù)脈沖的作用下,計數(shù)器由開始從0000、0001、1000、1001循環(huán)計數(shù)8421碼十進制計數(shù)器。,Q3Q2Q1Q0=1001,Q3Q2Q1Q0=0000,例:用74161構(gòu)成十進制計數(shù)器。,若例中預(yù)置數(shù)端D3D2D1D00000,D3D2D1D0=0100,其余不變,得到的是幾進制計數(shù)器?,是六進制計數(shù)器。計數(shù)器循環(huán)狀態(tài)是,例:用74161構(gòu)成十進制計數(shù)器。,推廣,設(shè)各置數(shù)端數(shù)據(jù)為N,構(gòu)成模數(shù)為M的計數(shù)器,譯碼與非門必須對N+M1所對應(yīng)的狀態(tài)譯碼。 如N=3(0011)、 M=10,與非門必須對12(1100)譯碼。如圖所示。,74161,CP,1,1,1,Q,CC,Q,0,Q,1,Q,3,T,L,D,C,r,CP,D,D,D,D,P,74LS161,Q,2,&,構(gòu)成十進制計數(shù)器,CP,1,1,1,Q,CC,Q,0,Q,1,Q,3,T,L,D,C,r,CP,3,D,2,D,1,0,P,74LS161,Q,2,&,例:用74LS161組成24進制計數(shù)器,個位:當(dāng)計數(shù)到Q3Q2Q1Q0=1001時,向十位的P、T輸出高電平的進位信號,并向本位計數(shù)預(yù)置端輸出低電平的預(yù)置信號,使下一個計數(shù)脈沖的上升沿到來時,在十位十進制計數(shù)器加1的同時,個位十進制計數(shù)器實現(xiàn)預(yù)置數(shù)功能,將D3D2D1D0=0000裝入計數(shù)器。,實現(xiàn)逢24復(fù)0功能:用一個與非門對24譯碼(8421碼是00100100)當(dāng)計數(shù)到24時,與非門向計數(shù)器的清零端輸出低電平,強迫整個計數(shù)器復(fù)位到全0狀態(tài)。,說明:采用置數(shù)法實現(xiàn)計數(shù)器時,若置數(shù)端數(shù)據(jù)不是零,可能出現(xiàn)無效狀態(tài),計數(shù)器清零后不能立即進入有效狀態(tài)循環(huán)。,例,采用進位輸出置數(shù)法實現(xiàn)。,移位寄存器型計數(shù)器,將移位寄存器首尾相接即構(gòu)成環(huán)型計數(shù)器。不斷輸入時鐘信號時,寄存器中的數(shù)據(jù)依次右移。,扭環(huán)型計數(shù)器,扭環(huán)型計數(shù)器亦稱約翰遜計數(shù)器。,扭環(huán)型計數(shù)器,扭環(huán)型計數(shù)器狀態(tài)轉(zhuǎn)換圖如圖示。,5.5順序脈沖發(fā)生器,順序脈沖發(fā)生器:產(chǎn)生一組在時間上有先后順序的脈沖。 用途:如在計算機中,機器執(zhí)行指令時,是將一條指令分成一些基本動作,控制器發(fā)生一系列節(jié)拍脈沖,有順序地控制這些基本動作的完成,實現(xiàn)一系列的操作或運算。 電路組成 計數(shù)器:按設(shè)計要求計脈沖CP的個數(shù) 譯碼器:將計數(shù)器狀態(tài)翻譯成對應(yīng)輸出端(脈沖信號)的高低電平順序輸出。,5.5順序脈沖發(fā)生器,工作方式是異步的,輸入時鐘脈沖,產(chǎn)生競爭冒險,5.5順序脈沖發(fā)生器,CP,T0,T1,T2,T3,T4,T5,T6,T7,5-50 順序脈沖發(fā)生器波形圖,尖脈沖是競爭冒險現(xiàn)象在譯碼器輸出端產(chǎn)生的干擾脈沖。,消除干擾脈沖的方法 利用輸入脈沖封鎖譯碼門 采用扭形計數(shù)器 采用環(huán)形計數(shù)器,利用輸入脈沖封鎖譯碼門 用時鐘脈沖封鎖以消除干擾脈沖與清除競爭冒險時采用的方法相同,引入封鎖脈沖在可能產(chǎn)生干擾脈沖的時間里封鎖住譯碼門。如圖 (a)示圖(b)為其輸出波形。,T1,T2,T3,(b),此時的順序脈沖不再是一個接一個。,采用約翰遜(扭環(huán)型)計數(shù)器構(gòu)成順序脈沖發(fā)生器的邏輯圖如圖示。,采用扭環(huán)型計數(shù)器,譯碼電路,扭環(huán)型計數(shù)器,特點:每次狀態(tài)變化時,僅有一個觸發(fā)器翻轉(zhuǎn),故可消除干擾脈沖。,采用扭環(huán)型計數(shù)器,四位約翰遜計數(shù)器時序及譯碼函數(shù)。,采用環(huán)型計數(shù)器,特點:不需要譯碼器。環(huán)型計數(shù)器的有效循環(huán)中的每一個狀態(tài)都有一個1。每個觸發(fā)器的Q端就可以輸出對應(yīng)的脈沖。雖然計數(shù)器由一個狀態(tài)到下一個狀態(tài)有兩個觸發(fā)器翻轉(zhuǎn),但因沒有譯碼器,因此不產(chǎn)生干擾脈沖。,八位環(huán)型計數(shù)器構(gòu)成的順序脈沖發(fā)生器工作波形。,采用環(huán)型計數(shù)器,5.6 時序邏輯電路的設(shè)計方法,已知邏輯電路,求狀態(tài)圖,寫方程式:已知邏輯電路,求狀態(tài)圖 求狀態(tài)方程 進行計算、列狀態(tài)轉(zhuǎn)換表 畫狀態(tài)轉(zhuǎn)換圖和時序圖,復(fù)習(xí):時序邏輯電路的分析步驟,時序邏輯電路的設(shè)計是分析的逆過程。 已知設(shè)計要求,求滿足要求的邏輯電路。,5.6 時序邏輯電路的設(shè)計方法,設(shè)計步驟,畫狀態(tài)轉(zhuǎn)換圖或狀態(tài)轉(zhuǎn)換表 狀態(tài)化簡 確定觸發(fā)器的數(shù)目、類型、狀態(tài)分配(狀態(tài)編碼)根據(jù)2nM2n-1 ,確定觸發(fā)器的數(shù)目 求出驅(qū)動方程和輸出方程 按照驅(qū)動方程和輸出方程畫出邏輯圖。 檢查所設(shè)計的電路能否自啟動,設(shè)計注意事項,對于用中規(guī)模集成電路設(shè)計時序電路,第四步以后的幾步就不完全適用了。 由于中規(guī)模集成電路已經(jīng)具有了一定的邏輯功能,因此用中規(guī)模集成電路設(shè)計電路時,希望設(shè)計結(jié)果與命題要求的邏輯功能之間有明顯的對應(yīng)關(guān)系,以便于修改設(shè)計。,例:,試設(shè)計一個五進制加法計數(shù)器。 解:由于計數(shù)器能夠在時鐘脈沖作用下,自動地依次從一個狀態(tài)轉(zhuǎn)換到下一個狀態(tài),所以計數(shù)器無信號輸入,只有進位輸出信號。 令進位輸出C=1表示有進位輸出,而C=0則表示無進位輸出。,具體步驟,畫狀態(tài)轉(zhuǎn)換圖或狀態(tài)轉(zhuǎn)換表。 五進制加法計數(shù)器應(yīng)有五個有效狀態(tài)。它的狀態(tài)轉(zhuǎn)換圖如圖所示。,具體步驟,狀態(tài)化簡 無等價狀態(tài)。無需狀態(tài)化簡。 狀態(tài)分配 有五個狀態(tài),應(yīng)用三位二進制代碼(三個觸發(fā)器)八種組合中取其五種組合得二進制編碼的狀態(tài)轉(zhuǎn)換圖。,具體步驟,求狀態(tài)方程、驅(qū)動方程、輸出方程 根據(jù)圖5-51,畫出次態(tài)卡諾圖和進位輸出的卡諾圖(圖5-52),并將其分解成小卡諾圖(圖5-53)。,具體步驟,具體步驟,狀態(tài)方程的形式,應(yīng)與選用的觸發(fā)器的特性方程的形式相似。以便于狀態(tài)方程和特性方程對比,求出驅(qū)動方程。,具體步驟,根據(jù)驅(qū)動方程和輸出方程畫出邏輯圖,如圖5-54示。,具體步驟,檢查能否自啟動,結(jié)果為能自啟動,若選用D觸發(fā)器,狀態(tài)方程為,進而求得驅(qū)動方程:,根據(jù)驅(qū)動方程和輸出方程畫出的D觸發(fā)器構(gòu)成的計數(shù)器如圖所示。,檢查結(jié)果能自啟動。狀態(tài)轉(zhuǎn)換圖如圖所示。,例5-9 :,試設(shè)計一個串行數(shù)據(jù)1111序列檢測器。連續(xù)輸入四個或四個以上個1時,輸出F為1,否則F為0。 解:根據(jù)題意該電路只有一個輸入端X,檢測結(jié)果或者為1或者為0。故也只有一個輸出端F。令: S0:沒輸入1以前的狀態(tài); S1:輸入一個1后的狀態(tài); S2:連續(xù)輸入兩個1以后的狀態(tài); S3:連續(xù)輸人三個1以后的狀態(tài); S4:連續(xù)輸入四個或四個以上個1的狀態(tài)。,例5-9:,列狀態(tài)轉(zhuǎn)換(表5-9)所示,畫狀態(tài)轉(zhuǎn)換圖(圖5-58)。,得到的最簡狀態(tài)轉(zhuǎn)換圖如圖所示。,例5-9:,由狀態(tài)方程求得驅(qū)動方程為:,由于兩個觸發(fā)器的四種狀態(tài)組合,均為有效狀態(tài),沒有無效狀態(tài),不存在能否自啟動的問題。,根據(jù)驅(qū)動方程和輸出方程畫出邏輯圖如圖5-61所示。,試設(shè)計一個能控制光點右移、左移、停止的控制電路。 光點右移表示電機正轉(zhuǎn),光點左移表示電機反轉(zhuǎn),光點停止移動表示電機停轉(zhuǎn)。 電機運轉(zhuǎn)規(guī)律如下:正轉(zhuǎn)20秒停10秒反轉(zhuǎn)20秒停10秒正轉(zhuǎn)20秒。,例5-11,解:光點移動可通過發(fā)光二極管的亮、滅變化顯示出來。為此控制電路應(yīng)包含兩部分:發(fā)光二極管的驅(qū)動電路和產(chǎn)生控制脈沖的電路。 如果四個發(fā)光二極管中只有一個亮,并能從左向右或從右向左依次亮,就形成了光點的移動。 四位雙向移位寄存器74194具有送數(shù)、左移、右移、保持功能。用74194驅(qū)動發(fā)光二極管,便可得到符合題目要求的功能。,例5-11,例5-11,S1S0=11送數(shù),,S1S0=01右移 20秒, S1S0=10左移 20秒, S1S0=00保持 10秒。,QAQBQCQD=1000,環(huán)型移位,六進制計數(shù)器,74161構(gòu)成六進制計數(shù)器。Q2Q1Q0為74138地址輸入A2A1A0,產(chǎn)生所需的S1S0的脈沖序列。真值表如表5-12示。,例5-11,第五章作業(yè):,5-45-6 5-125-19,

注意事項

本文(數(shù)字電路第5章時序邏輯電路.ppt)為本站會員(tian****1990)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!