九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

基于FPGA DSP的軟件無(wú)線電通用平臺(tái)設(shè)計(jì)

  • 資源ID:28369570       資源大?。?span id="24d9guoke414" class="font-tahoma">1.13MB        全文頁(yè)數(shù):8頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

基于FPGA DSP的軟件無(wú)線電通用平臺(tái)設(shè)計(jì)

基于FPGA+DSP的軟件無(wú)線電通用平臺(tái)設(shè)計(jì)蘇永芝1,耿慶峰2(1.裝備指揮技術(shù)學(xué)院 航天裝備系,北京 101416 2. 北京光大欣創(chuàng)科技有限公司,北京 100088)摘要:軟件無(wú)線電為實(shí)現(xiàn)多種無(wú)線通信標(biāo)準(zhǔn)提供了方便。本文提出采用FPGADSP的處理結(jié)構(gòu),結(jié)合高性能的DDC和DUC處理芯片,設(shè)計(jì)了一個(gè)通用軟件無(wú)線電平臺(tái),并對(duì)系統(tǒng)的性能進(jìn)行了測(cè)試。實(shí)驗(yàn)表明,系統(tǒng)具有很好的穩(wěn)定性。關(guān)鍵詞:軟件無(wú)線電;FPGA;DDC;DUC中圖分類號(hào):TP 273文獻(xiàn)標(biāo)識(shí)碼:AThe Design of General Flat for Software radio Based on FPGA+DSP SU Yong-zhi1, Geng Yu-ling2, Geng Qing-feng3(1.Department of Space Equipment, Institute of Command and Technology of Equipment, Beijing 101416, China2. HwaCreate(China) Co.,Ltd, Beijing 100088, China)Abstract: The software radio is a kind of wireless equipment which is seasoned with multi communication standards. The paper designs a general software radio flat which adopts FPGA+DSP structure and uses high quality DDC and DUC chips. The system performance is tested by using various signals. The experiment results demonstrate that the system has well stability.Keywords: Software radio,F(xiàn)PGA,DDC,DUC1 引言軟件無(wú)線電是具有可重配置硬件平臺(tái)的無(wú)線設(shè)備,可以跨多種通信標(biāo)準(zhǔn),其基本思想是以開(kāi)發(fā)性、可擴(kuò)展、結(jié)構(gòu)最簡(jiǎn)的硬件為通用平臺(tái),把盡可能多的通信功能用可升級(jí)、可替換的軟件來(lái)實(shí)現(xiàn)。它們因?yàn)楦偷某杀尽⒏蟮撵`活性和更高的性能,迅速成為軍事、公共安全和商用無(wú)線領(lǐng)域的事實(shí)標(biāo)準(zhǔn)。軟件無(wú)線電能夠?qū)Χ喾N波形進(jìn)行基帶處理和數(shù)字中頻處理,而數(shù)字中頻處理能夠?qū)?shù)字信號(hào)處理的領(lǐng)域從基帶擴(kuò)展到射頻。同時(shí),支持基帶和中頻處理的能力又增加了系統(tǒng)的靈活性,也減小了制造成本1,2。目前,DSP速度越來(lái)越快,成本越來(lái)越低,F(xiàn)PGA的容量越來(lái)越大,封裝越來(lái)越小,使得DSP+FPGA組成的系統(tǒng)成為解決軟件無(wú)線電系統(tǒng)設(shè)計(jì)的重要選擇方案之一。在這類應(yīng)用中, FPGA實(shí)現(xiàn)大計(jì)算量的信號(hào)處理數(shù)據(jù)通道和控制,讓系統(tǒng)延遲最小,而DSP處理器則完成基帶處理的算法實(shí)現(xiàn),以實(shí)現(xiàn)從一種標(biāo)準(zhǔn)切換至另一種標(biāo)準(zhǔn)。DSP處理器能夠動(dòng)態(tài)地在軟件的主要部分間切換,而FPGA能夠根據(jù)需要完全重新配置,實(shí)現(xiàn)特定標(biāo)準(zhǔn)的數(shù)據(jù)通道。(以上兩段話被我重新改過(guò),比較羅嗦,你看著刪一些)本文介紹了一種基于DSP+FPGA 的數(shù)字中頻處理通用平臺(tái),以對(duì)軟件無(wú)線電的設(shè)計(jì)提供一種靈活的架構(gòu),在這個(gè)平臺(tái)之上利用數(shù)字上變頻器和下變頻器以實(shí)現(xiàn)軟件無(wú)線電中頻信號(hào)的接收與發(fā)射功能,利用FPGA 的容量大、可編程實(shí)現(xiàn)很多功能,并結(jié)合DSP具有高速的信息處理能力的特點(diǎn),可以靈活方便地對(duì)數(shù)據(jù)進(jìn)行處理,使得整個(gè)平臺(tái)結(jié)構(gòu)靈活,通用性強(qiáng),易于擴(kuò)展。本平臺(tái)較其它類似平臺(tái)的優(yōu)點(diǎn)在于:(1)將DSP、FPGA、AD、DA、DUC、DDC集成在一塊板卡上,集成度高,體積小,功耗小,也減少了數(shù)據(jù)在多塊板卡間傳輸可能引起的損壞; (2)DUC和DDC采用硬件電路,避免應(yīng)用軟件實(shí)現(xiàn)難度大的困難,減少開(kāi)發(fā)難度,縮短開(kāi)發(fā)周期。2 數(shù)字中頻處理通用平臺(tái)設(shè)計(jì)方案數(shù)字中頻處理平臺(tái)為6U CPCI結(jié)構(gòu),主要由AD轉(zhuǎn)換及DDC模塊、DA+DUC轉(zhuǎn)換模塊、DSP信號(hào)處理模塊、PCI總線接口、FPGA高速數(shù)字傳輸、存儲(chǔ)器等幾部分組成??傮w框圖如圖1所示。圖1 系統(tǒng)總體框圖DSP信號(hào)處理模塊主要用于針對(duì)TI的DSP相關(guān)的技術(shù)開(kāi)發(fā)、應(yīng)用和仿真,板上集成了兩片TMS320C6713浮點(diǎn)DSP芯片,因而可極大地滿足通信、雷達(dá)、數(shù)字電視等高科技領(lǐng)域?qū)π盘?hào)處理實(shí)時(shí)性的要求。FPGA采用的是Virtex-4系列的SX35,通過(guò)編程可完成使用者想要實(shí)現(xiàn)的功能。FPGA間可通過(guò)LVDS總線交換數(shù)據(jù),此總線工作速率可滿足實(shí)時(shí)性的要求。在數(shù)字下變頻和上變頻側(cè),各有一組FPGA和DSP構(gòu)成數(shù)據(jù)處理單元,在此單元中它們共用外部存儲(chǔ)器,以實(shí)現(xiàn)數(shù)據(jù)的交換、處理與存儲(chǔ)。在每組的FPGA和DSP中都有自定義方式的外引線接到接插件,以方便擴(kuò)展功能。在本系統(tǒng)中,系統(tǒng)可以對(duì)外部無(wú)線電信號(hào)進(jìn)行采集與處理,也可以把數(shù)據(jù)從PC機(jī)傳送到系統(tǒng)中,由系統(tǒng)處理后從DA通道發(fā)送出去。因?yàn)镕PGA和DSP具有系統(tǒng)隨時(shí)更新程序的功能,所以在對(duì)無(wú)線電信號(hào)的處理上具有很好的靈活性。系統(tǒng)PCI管理芯片采用QUICKLOGIC公司的QL5064,這是一款反熔絲設(shè)計(jì)的芯片,符合 PCI v2.2 規(guī)范,包括PCI部分和用戶部分。PCI嵌入式內(nèi)核由各種控制器和一系列FIFO組成,它具有零等待狀態(tài)猝發(fā)連接能力,可提供高達(dá)600MB/s的PCI數(shù)據(jù)傳輸率,主要實(shí)現(xiàn)系統(tǒng)與PCI總線的連接。主機(jī)可以通過(guò)PCI總線實(shí)現(xiàn)對(duì)板上所有資源的訪問(wèn),包括對(duì)FPGA及DSP的程序配置,對(duì)系統(tǒng)工作寄存器的配置,對(duì)內(nèi)存的讀寫(xiě)。QL5064還可以作為PCI總線主設(shè)備,以DMA方式與主機(jī)內(nèi)存交換數(shù)據(jù),發(fā)起initial方式訪問(wèn)PCI總線上其它設(shè)備3。另外,利用QL5064器件上的FPGA部分模塊,可以實(shí)現(xiàn)PC機(jī)通過(guò)PCI總線隨時(shí)更新FPGA和DSP程序。本系統(tǒng)可以在一個(gè)板卡上完成軟件無(wú)線電的接收與發(fā)射功能,同時(shí)具有系統(tǒng)可以隨時(shí)配置的硬件DUC,使用者也可以采用FPGA實(shí)現(xiàn)軟核DUC的功能;FPGA和DSP都能進(jìn)行數(shù)據(jù)處理,為使用者提供了多重選擇;本系統(tǒng)在硬件設(shè)計(jì)上實(shí)現(xiàn)了可由PC機(jī)通過(guò)PCI總線隨時(shí)更新FPGA和DSP的功能,極大地方便了程序調(diào)試。2.1 發(fā)射前端數(shù)字中頻處理系統(tǒng)發(fā)射前端是由高速DAC數(shù)字上變頻、抽取濾波處理模塊以及專用數(shù)字信號(hào)處理(DSP)模塊組成。信號(hào)處理模塊由FPGA和DSP組成,DSP完成復(fù)雜算法的計(jì)算,而FPGA完成路徑選擇、工作配置等實(shí)時(shí)性強(qiáng)的工作。經(jīng)信號(hào)處理模塊處理后的數(shù)字信號(hào)送到數(shù)字上變頻及抽取濾波處理模塊,經(jīng)處理后再送到高速DAC傳送給發(fā)射系統(tǒng)。數(shù)字上變頻DUC與DAC的實(shí)現(xiàn)采用AD公司的AD9857。AD9857是Analog Devices公司推出的一種單片混合信號(hào)的14位積分?jǐn)?shù)字上行轉(zhuǎn)換器,集成數(shù)字上變頻DUC功能與DA轉(zhuǎn)換功能的DDS芯片。采樣速率為200MSPS,可產(chǎn)生直流到80MHz的數(shù)字輸出和80dB窄帶的無(wú)雜散信號(hào)動(dòng)態(tài)范圍。AD9857具有200MHz內(nèi)部時(shí)鐘速度,集成了帶鎖定指示器的420倍可編程時(shí)鐘倍頻器,可提供高精度的系統(tǒng)時(shí)鐘;內(nèi)部32位正交DDS,可實(shí)現(xiàn)FSK調(diào)制功能;14位DDS和DAC的數(shù)據(jù)路徑結(jié)構(gòu),可接受復(fù)合I/Q輸入數(shù)據(jù);32位頻率控制字,而且控制接口簡(jiǎn)單:10MHz串行,并與SPI兼容;具有反轉(zhuǎn)SINC功能,在DAC變換之前恢復(fù)出想得到的信號(hào)包絡(luò);有很好的動(dòng)態(tài)特性:當(dāng)65Hz模擬信號(hào)輸出時(shí),D/A轉(zhuǎn)換電路輸出的無(wú)雜散動(dòng)態(tài)范圍SFDR大于80dB,并且能夠?qū)?位輸出進(jìn)行幅度控制 4。2.2接收后端數(shù)字中頻處理系統(tǒng)接收后端是由高速ADC模塊、數(shù)字下變頻、抽取濾波處理模塊以及專用數(shù)字信號(hào)處理(DSP)模塊組成。輸入的模擬中頻信號(hào)先經(jīng)過(guò)高速ADC模塊,在中頻進(jìn)行帶通采樣數(shù)字化,然后進(jìn)行數(shù)字下變頻,將感興趣的信號(hào)轉(zhuǎn)換至基帶,同時(shí)做抽樣率轉(zhuǎn)換及濾波處理,之后由后續(xù)的專用數(shù)字信號(hào)處理器(DSP)進(jìn)基帶信號(hào)處理。A/D采樣之后的數(shù)字信號(hào)速率非常高,要從這些高速信號(hào)中得到有用的基帶信號(hào),需要有效地對(duì)其進(jìn)行數(shù)字下變頻、抽取、濾波等處理,這些功能可以采用現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)來(lái)實(shí)現(xiàn)。FPGA具有較高的處理速度和較高的穩(wěn)定性,同時(shí)又具有設(shè)計(jì)靈活、易于修改和維護(hù)的優(yōu)點(diǎn),可以適應(yīng)不同的系統(tǒng)的要求,采用靈活的結(jié)構(gòu)滿足不同的需要,提高了系統(tǒng)的適用性及可擴(kuò)展性。專用數(shù)字信號(hào)處理器(DSP)主要是通過(guò)軟件來(lái)實(shí)現(xiàn)數(shù)字基帶信號(hào)處理以及比特流控制、編碼解碼等高速的數(shù)據(jù)交換和處理功能。DSP的運(yùn)算速度和精度決定著系統(tǒng)的數(shù)據(jù)處理能力,同時(shí)也會(huì)對(duì)整個(gè)系統(tǒng)的性能和結(jié)構(gòu)產(chǎn)生重要的影響。AD芯片采用AD公司的AD6645,AD6645是一種高速、高性能、單片14位ADC。它的高速性能特點(diǎn)允許用于采樣速率高達(dá)105MHz的中頻采樣。AD6645的數(shù)字輸出電平與CMOS兼容。因?yàn)锳D6645的轉(zhuǎn)換速率很快,所以允許更經(jīng)濟(jì)有效的設(shè)計(jì)5。轉(zhuǎn)換后的數(shù)字信號(hào)送入到下變頻模塊DDC中進(jìn)行處理,DDC采用AD公司的AD6634。從AD6634中出來(lái)的數(shù)字信號(hào)再由FPGA存入板上SDRAM中,以便于由DSP芯片加以處理。DDC可以由系統(tǒng)隨時(shí)進(jìn)行配置,這樣可以減輕FPGA編程的壓力。3 系統(tǒng)測(cè)試3.1 DDC穩(wěn)定性測(cè)試穩(wěn)定性是一個(gè)系統(tǒng)長(zhǎng)時(shí)間工作的一個(gè)重要指標(biāo),對(duì)10MHz的正弦波信號(hào)每隔20分鐘采集一段數(shù)據(jù),共采集了3組數(shù)據(jù)。圖2、3、4分別示出了每組數(shù)據(jù)的幅度和相位。幅度相位圖2 第一次采集的信號(hào)幅度和相位幅度均值=70.41dB,標(biāo)準(zhǔn)差=0.0032dB;相位均值=116.82度,標(biāo)準(zhǔn)差=0.0553度幅度相位圖3 第二次采集的信號(hào)幅度和相位幅度均值=70.41dB,標(biāo)準(zhǔn)差=0.0031dB;相位均值=116.78度,標(biāo)準(zhǔn)差=0.0576度幅度相位圖4 第三次采集的信號(hào)幅度和相位幅度均值=70.40dB,標(biāo)準(zhǔn)差=0.0031dB;相位均值=116.75度,標(biāo)準(zhǔn)差=0.053度從三組數(shù)據(jù)可以看出,接收系統(tǒng)隨時(shí)間變化穩(wěn)定性很好。3.2 DDC功率分辨率測(cè)試功率分辨率測(cè)試是系統(tǒng)本身對(duì)信號(hào)幅度變化的一個(gè)量化測(cè)試。改變信號(hào)源的輸出電平,分別采集不同電平的信號(hào),用Matlab求采集信號(hào)的幅度均值和方差。測(cè)試結(jié)果如表1所示:表1 功率分辨率測(cè)試結(jié)果序號(hào)信號(hào)電壓(mVpp)均值(dB)方差(dB)150070.37350.0031250570.46020.0030360071.95460.0026470073.28860.0022580074.44520.0020680574.49890.0020從表格來(lái)看,DDC可以分辨出5 mVpp的差值的變化,而且在AD芯片模擬信號(hào)輸入范圍的中間值左右時(shí),方差值比較穩(wěn)定。3.3信噪比測(cè)試ADC時(shí)鐘為80M,采集的輸入AD信號(hào)是5M正弦波,對(duì)采集的數(shù)據(jù)用MATLAB進(jìn)行計(jì)算結(jié)果如下圖所示。DDC時(shí)鐘80M,抽取設(shè)定16,AD模擬信號(hào)輸入為10.1M正弦波,DUC中頻為10M,對(duì)采集的數(shù)據(jù)用MATLAB進(jìn)行計(jì)算結(jié)果如下圖所示。 圖1為采樣數(shù)據(jù)直接繪圖;圖2為圖1的展開(kāi);圖3和圖4分別是兩路數(shù)據(jù)進(jìn)行FFT計(jì)算后的結(jié)果。3.4DA輸出測(cè)試 圖5(a)由AD9857內(nèi)部生成的5M正弦波 (b)由外部輸入經(jīng)AD9857處理后輸出的10M正弦波圖5(a)是配置DUC在DAC芯片內(nèi)部生成的5M正弦波的輸出顯示。圖5(b)是從FPGA給DAC的一個(gè)10M正弦波得到的波形顯示。從這兩個(gè)波形顯示來(lái)看,AD9857器件的DUC部分工作效果很好,其DAC輸出效果也是十分地好。4 結(jié)論通過(guò)上述分析與測(cè)試,數(shù)字下變頻的性能既能保持長(zhǎng)時(shí)間的穩(wěn)定又能保持很高的分辨率,而配置DUC后的DAC輸出效果也很好,同時(shí)ADC的信噪比也符合要求。FPGA可提供通用的計(jì)算結(jié)構(gòu),實(shí)時(shí)性好,非常適合于軟件無(wú)線電中基帶和IF數(shù)字處理的需要。另外,通用處理DSP與FPGA結(jié)合使用,發(fā)揮各自的優(yōu)勢(shì),能夠增強(qiáng)功能,改善吞吐量,減小系統(tǒng)成本和降低系統(tǒng)功率。板上設(shè)計(jì)有硬件數(shù)字下變頻和數(shù)字上變頻器件,可以用系統(tǒng)對(duì)它們進(jìn)行靈活的配置,使得對(duì)DDC和DUC的應(yīng)用變得簡(jiǎn)單,初學(xué)者也能很容易地實(shí)現(xiàn)這些功能。目前,本文所設(shè)計(jì)的通用平臺(tái)系統(tǒng),已作為產(chǎn)品成功地應(yīng)用于某院校的電子對(duì)抗項(xiàng)目。參考文獻(xiàn)(References)1鈕心沂,楊義先。軟件無(wú)線電技術(shù)與應(yīng)用M。北京:北京郵電大學(xué)出版社2楊小牛,樓才義。軟件無(wú)線電原理與應(yīng)用M。北京:電子工業(yè)出版社3 Quick Logic.QL5064 Users Manual datasheet4 ANALOG DEVICES. CMOS 200 MSPS 14-Bit Quadrature Digital Upconverter AD98575 ANALOG DEVICES.14-Bit,80/105 MSPS A/D Converter AD6645 Datasheet

注意事項(xiàng)

本文(基于FPGA DSP的軟件無(wú)線電通用平臺(tái)設(shè)計(jì))為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!