九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

8路數(shù)字搶答器 課程設(shè)計(jì)報(bào)告

  • 資源ID:28447714       資源大?。?span id="24d9guoke414" class="font-tahoma">1.49MB        全文頁數(shù):26頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

8路數(shù)字搶答器 課程設(shè)計(jì)報(bào)告

武漢理工大學(xué)八路數(shù)字搶答器課程設(shè)計(jì)說明書學(xué) 號(hào): 012080932XXXX課 程 設(shè) 計(jì)題 目八路數(shù)字搶答器學(xué) 院信息工程學(xué)院專 業(yè)通信工程班 級(jí)通信0803 姓 名David指導(dǎo)教師付琴2010年 7月 9日課程設(shè)計(jì)任務(wù)書學(xué)生姓名: David 專業(yè)班級(jí): 通信0803 指導(dǎo)教師: 付琴 工作單位: 信息工程學(xué)院 題 目: 八路數(shù)字搶答器 初始條件:要求對(duì)數(shù)字電路里555電路的運(yùn)用有所了解,同時(shí)熟悉計(jì)數(shù)電路和譯碼電路的運(yùn)用,還要能夠運(yùn)用Multisim軟件進(jìn)行電路的仿真。要求完成的主要任務(wù): 1 運(yùn)用數(shù)字電路設(shè)計(jì)一個(gè)能夠滿足特定要求的八路搶答器2 繪制電路原理圖并進(jìn)行仿真,要求在報(bào)告中畫出正確的波形。3 按照所畫的原理圖,在仿真的基礎(chǔ)上焊接實(shí)物并進(jìn)行調(diào)試。時(shí)間安排:編 號(hào) 任 務(wù)時(shí) 間1查資料 、原理圖設(shè)計(jì)3天 2仿真電路并修改 3天 3 實(shí)物焊接與調(diào)試 5天 4報(bào)告撰寫 2天 5答辯 1天指導(dǎo)教師簽名: 年 月 日系主任(或責(zé)任教師)簽名: 年 月 日目錄課程設(shè)計(jì)任務(wù)書2摘要4Abstract5一、實(shí)驗(yàn)?zāi)康?二、設(shè)計(jì)要求與內(nèi)容6三、設(shè)計(jì)及原理73.1 總體方案設(shè)計(jì)73.1.1 設(shè)計(jì)思路73.1.2 總電路框圖73.2 各模塊設(shè)計(jì)方案及原理說明83.2.1 搶答電路83.2.2 倒計(jì)時(shí)電路12四、電路仿真144.1 搶答電路144.2 倒計(jì)時(shí)電路17五、實(shí)驗(yàn)結(jié)果及分析20六、收獲、體會(huì)和建議22附錄251.總電路圖252. 元件引腳圖263.元器件清單28主要參考文獻(xiàn)29摘要搶答器作為一種工具,已經(jīng)廣泛應(yīng)用于各種智力和知識(shí)競(jìng)賽場(chǎng)合。本設(shè)計(jì)以八路智力競(jìng)賽搶答器為基本概念,從實(shí)際應(yīng)用出發(fā),利用電子設(shè)計(jì)自動(dòng)化( EDA)技術(shù),用數(shù)字、模擬電子器件設(shè)計(jì)具有擴(kuò)充功能的搶答器。該搶答器的設(shè)計(jì)利用Multisim11完成了原理圖設(shè)計(jì)和電路仿真,具有數(shù)字顯示、倒計(jì)時(shí)顯示、編碼譯碼功能,應(yīng)用效果良好。關(guān)鍵詞:電子設(shè)計(jì)自動(dòng)化;數(shù)字電子技術(shù);搶答器;仿真AbstractResponder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.Key words: EDA; digital electronic technology; Responder; Simulation八路數(shù)字搶答器一、實(shí)驗(yàn)?zāi)康耐ㄟ^八路數(shù)字搶答器的設(shè)計(jì)實(shí)驗(yàn),要求學(xué)生回顧所學(xué)數(shù)字電子技術(shù)的基礎(chǔ)理論和基礎(chǔ)實(shí)驗(yàn),掌握組合電路、時(shí)序電路、編程器件和任意集成電路的綜合使用及設(shè)計(jì)方法,熟悉掌握優(yōu)先編碼器、觸發(fā)器、計(jì)數(shù)器、單脈沖觸發(fā)器、555電路、譯碼/驅(qū)動(dòng)電路的應(yīng)用方法,熟悉掌握時(shí)序電路的設(shè)計(jì)方法。達(dá)到數(shù)字實(shí)驗(yàn)課程大綱所要求掌握的基本內(nèi)容。二、設(shè)計(jì)要求與內(nèi)容在許多比賽活動(dòng)中,為了準(zhǔn)確、公正、直觀地判斷出第一搶答者,通常設(shè)置一臺(tái)搶答器,通過數(shù)顯、燈光或音響等多種手段指示出第一搶答者。(1)設(shè)計(jì)制作一個(gè)可容納8組參賽的數(shù)字式搶答器,每組設(shè)置一個(gè)搶答按鈕供搶答者使用。(2)電路具有第一搶答信號(hào)的鑒別和鎖存功能。在主持人系統(tǒng)發(fā)出搶答指令后,若參賽者按搶答開關(guān),則該組指示燈亮并用組別顯示電路顯示出搶答者的組別,同時(shí)指示燈(發(fā)光二極管)亮。此時(shí),電路應(yīng)具備自鎖存功能,使別組的搶答開關(guān)不起作用。(3)若超時(shí)仍無人搶答,則報(bào)警指示燈熄滅。三、設(shè)計(jì)及原理3.1 總體方案設(shè)計(jì)3.1.1 設(shè)計(jì)思路本題的根本任務(wù)是準(zhǔn)確判斷出第一搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可選擇使用觸發(fā)器或鎖存器等。在得到第一信號(hào)之后應(yīng)立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效。當(dāng)電路形成第一搶答信號(hào)之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。在主持人沒有按下開始搶答按鈕前,參賽者的搶答開關(guān)無效;當(dāng)主持人按下開始搶答按鈕后,開始進(jìn)行30秒倒計(jì)時(shí),此時(shí),若有組別搶答,顯示該組別并使搶答指示燈亮表示“已有人搶答”;當(dāng)計(jì)時(shí)時(shí)間到,仍無組別搶答,則計(jì)時(shí)指示燈滅表示“時(shí)間已到”,主持人清零后開始新一輪搶答。、3.1.2 總電路框圖圖 3-1總電路框圖3.2 各模塊設(shè)計(jì)方案及原理說明3.2.1 搶答電路此部分電路主要完成的功能是實(shí)現(xiàn)8路選手搶答并進(jìn)行鎖存,同時(shí)有相應(yīng)發(fā)光二極管點(diǎn)亮和數(shù)碼顯示。使用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無效。工作過程:開關(guān)S 置于"清除"端時(shí),RS 觸發(fā)器的 R、S 端均為0,4 個(gè)觸發(fā)器輸出置0,使74LS148 的優(yōu)先編碼工作標(biāo)志端 0,使之處于工作狀態(tài)。當(dāng)開關(guān)S 置于"開始"時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),74LS148 的輸出經(jīng)RS 鎖存后,CTR=1,RBO =1, 七段顯示電路74LS48 處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5”。此外,CTR 1,使74LS148 優(yōu)先編碼工作標(biāo)志端,處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開即按下時(shí),74LS148 的 此時(shí)由于仍為CTR1,使優(yōu)先編碼工作標(biāo)志端1, 5 所以74LS148 仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。只要有一組選手先按下?lián)尨鹌?,就?huì)將編碼器鎖死,不再對(duì)其他組進(jìn)行編碼。通過74LS48譯碼器使搶答組別數(shù)字顯示0-7。如有再次搶答需由主持人將S 開關(guān)重新置“清除”然后再進(jìn)行下一輪搶答。原理圖如下:圖 3-2 搶答模塊原理圖RS觸發(fā)器:1. 保持狀態(tài)。當(dāng)輸入端接入=1的電平時(shí),如果基本SR觸發(fā)器現(xiàn)態(tài)=1、=0,則觸發(fā)器次態(tài)=1、=0;若基本SR觸發(fā)器的現(xiàn)態(tài)=0、=1,則觸發(fā)器次態(tài)=0、=1。即=1時(shí),觸發(fā)器保持原狀態(tài)不變。2. 置0狀態(tài)。當(dāng)=1,=0時(shí),如果基本SR觸發(fā)器現(xiàn)態(tài)為=1、=0,因=0,會(huì)使=1,而=1與=1共同作用使端翻轉(zhuǎn)為0;如果基本SR觸發(fā)器現(xiàn)態(tài)為=0、=1,同理會(huì)使=0,=1。只要輸入信號(hào)=1,=0,無論基本SR觸發(fā)器的輸出現(xiàn)態(tài)如何,均會(huì)使輸出次態(tài)置為0態(tài)。3. 置1狀態(tài)。當(dāng)=0、=1時(shí),如果觸發(fā)器現(xiàn)態(tài)為=0、=1,因=0,會(huì)使G1的輸出端次態(tài)翻轉(zhuǎn)為1,而=1和=1共同使G2的輸出端=0;同理當(dāng)=1、=0,也會(huì)使觸發(fā)器的次態(tài)輸出為=1、=0;只要=0、=1,無論觸發(fā)器現(xiàn)態(tài)如何,均會(huì)將觸發(fā)器置1。&&Q QG1G2 R SS R R S QQ R SQ置0置1保持4. 不定狀態(tài)。當(dāng)=0時(shí),無論觸發(fā)器的原狀態(tài)如何,均會(huì)使=1,=1。當(dāng)脈沖去掉后,和同時(shí)恢復(fù)高電平后,觸發(fā)器的新狀態(tài)要看G1 和G2兩個(gè)門翻轉(zhuǎn)速度快慢,所以稱=0是不定狀態(tài),在實(shí)際電路中要避免此狀態(tài)出現(xiàn)?;綬S觸發(fā)器的邏輯圖、邏輯符號(hào)和波形圖如圖1-7所示。(a)邏輯圖 (b) 邏輯符號(hào) (c) 波形圖圖 3-3 基本SR觸發(fā)器輸 入輸 出1XXXXXXXX11111011111111111100XXXXXXX0000010XXXXXX01001010XXXXX011010010XXXX0111011010XXX01111100010XX011111101010X01111111100100111111111101表 3-1 74LS148真值表4LS148的輸入端和輸出端低電平有效。是輸入信號(hào),為三位二進(jìn)制編碼輸出信號(hào),1時(shí),編碼器禁止編碼,當(dāng)0時(shí),允許編碼。是技能輸出端,只有在0,而均無編碼輸入信號(hào)時(shí)為0。為優(yōu)先編碼輸出端,在0而的其中之一有信號(hào)時(shí),0。各輸入端的優(yōu)先順序?yàn)椋杭?jí)別最高,級(jí)別最低。如果0(有信號(hào)),則其它輸入端即使有輸入信號(hào),均不起作用,此時(shí)輸出只按編碼,000。優(yōu)先編碼被廣泛用于計(jì)算機(jī)控制系統(tǒng)中,當(dāng)有多個(gè)外設(shè)申請(qǐng)中斷時(shí),優(yōu)先編碼器總是給優(yōu)先級(jí)別高的設(shè)備先編碼。圖 3-4 譯碼顯示電路二進(jìn)制譯碼器是將輸入的二進(jìn)制代碼的各種狀態(tài)按特定含義翻譯成對(duì)應(yīng)輸出信號(hào)的電路。也稱為變量譯碼器。若輸入端有n位,代碼組合就有2n個(gè),當(dāng)然可譯出2n個(gè)輸出信號(hào)。顯示譯碼器由譯碼輸出和顯示器配合使用,最常用的是BCD七段譯碼器。其輸出是驅(qū)動(dòng)七段字形的七個(gè)信號(hào),常見產(chǎn)品型號(hào)有74LS48、74LS47等。字符顯示器:分段式顯示是將字符由分布在同一平面上的若干段發(fā)光筆劃組成。電子計(jì)算器,數(shù)字萬用表等顯示器都是顯示分段式數(shù)字。而LED數(shù)碼顯示器是最常見的。通常有紅、綠、黃等顏色。LED的死區(qū)電壓較高,工作電壓大約1.53V,驅(qū)動(dòng)電流為幾十毫安。圖1-3是七段LED數(shù)碼管的引線圖和顯示數(shù)字情況。74LS47譯碼驅(qū)動(dòng)器輸出是低電平有效,所以配接的數(shù)碼管須采用共陽極接法;而74LS48譯碼驅(qū)動(dòng)器輸出是高電平有效,所以,配接的數(shù)碼管須采用共陰極接法。數(shù)碼管常用型號(hào)有BS201、BS202等。圖1-4(a)是共陰式LED數(shù)碼管的原理圖,使用時(shí),公陰極接地,7個(gè)陽極ag由相應(yīng)的BCD七段譯碼器來驅(qū)動(dòng)。 3.2.2 倒計(jì)時(shí)電路原理圖如下:圖 3-5 倒計(jì)時(shí)模塊原理圖該部分主要由555 定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192 減法計(jì)數(shù)電路、74LS48 譯碼電路和 2 個(gè) 7 段數(shù)碼管即相關(guān)電路組成。完成的功能是當(dāng)主持人按下開始搶答按鈕后,進(jìn)行30s倒計(jì)時(shí),到0s時(shí)倒計(jì)時(shí)指示燈亮。當(dāng)有人搶答時(shí),計(jì)時(shí)停止。兩塊74LS192 實(shí)現(xiàn)減法計(jì)數(shù),通過譯碼電路 74LS48 顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74LS192 的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù)30s,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí), 輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無效。 圖 3-6 555定時(shí)器連接圖由555定時(shí)器和三極管構(gòu)成報(bào)警電路。其中555構(gòu)成多諧振蕩器,振蕩頻率fo 1.43(RI2R2)C,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng)聲器。PR為控制信號(hào),當(dāng)PR為高電平時(shí),多諧振蕩器工作,反之,電路停振??刂戚斎胄盘?hào)為經(jīng)過編碼的搶答選手的信號(hào),當(dāng)有人在有效時(shí)間內(nèi)搶答時(shí),定時(shí)時(shí)間到時(shí)無人搶答時(shí),輸入信號(hào)為高電平,報(bào)警電路發(fā)出報(bào)警信號(hào);反之,輸入信號(hào)為低電平時(shí),報(bào)警器不工作。將連接好的555定時(shí)器的輸出端接在示波器上,呈現(xiàn)如下符合要求的方波。圖3 -7 555定時(shí)器輸出波形四、電路仿真4.1 搶答電路第2路搶答器搶答后的顯示,同時(shí)發(fā)光二極管指示燈發(fā)光,如下圖:圖 4-1 搶答電路仿真CTR端的示波器波形:如圖,撥動(dòng)開關(guān)后,CTR上跳,有人搶答時(shí),CTR下跳圖 4-2 CTR端仿真曲線4.2 倒計(jì)時(shí)電路倒計(jì)時(shí)開始后開始計(jì)數(shù),同時(shí)倒計(jì)時(shí)指示燈亮直到倒數(shù)到0秒熄滅,如下圖:圖 4-3 倒計(jì)時(shí)電路仿真在BO1和BO2端放置示波器,波形如圖:計(jì)時(shí)器個(gè)位減到0時(shí),BO1出現(xiàn)一個(gè)下跳;計(jì)時(shí)器十位減到0時(shí),BO2出現(xiàn)一個(gè)下跳。圖 4-4 BO1端仿真曲線圖 4-5 BO2端仿真曲線五、實(shí)驗(yàn)結(jié)果及分析接通電源,開關(guān)打到預(yù)置端,倒計(jì)時(shí)數(shù)碼管顯示30。主持人撥動(dòng)開關(guān)后,倒計(jì)時(shí)電路開始從30秒倒數(shù),8路觸點(diǎn)開關(guān)處于待命狀態(tài)。圖 5-1 倒計(jì)時(shí)狀態(tài)若有選手按下所在開關(guān)(搶答),搶答電路顯示選手所在編碼(07),倒計(jì)時(shí)電路停止計(jì)時(shí)。同時(shí)其他選手所在的開關(guān)被鎖定,搶答無效。圖 5-2 搶答顯示如果30秒倒計(jì)時(shí)結(jié)束后仍無人搶答,LED燈熄滅,倒計(jì)時(shí)電路鎖定為00,搶答不再有效。 這時(shí)只需主持人重新將開關(guān)打到預(yù)置段,整個(gè)電路全部回到初態(tài)。準(zhǔn)備進(jìn)行下一輪搶答。圖 5-5 計(jì)時(shí)鎖定六、收獲、體會(huì)和建議這次課程設(shè)計(jì)的電路是比較復(fù)雜的。雖然以前在模電、Protel課程設(shè)計(jì)中接觸過一些電子電路,但在初期還是感到無從下手。這是我第一次接觸multisim軟件,感覺它比Protel更靈活易用,熟練以后十分順手。在整個(gè)電路的設(shè)計(jì)過程中,花費(fèi)時(shí)間最多的是各個(gè)單元電路的連接及電路的細(xì)節(jié)設(shè)計(jì)上,在多種方案的選擇中,我們仔細(xì)比較分析其原理以及可行的原因,最后還是在通多次對(duì)電路的改進(jìn),上機(jī)仿真以及接線調(diào)試,終于使整個(gè)電路可穩(wěn)定工作。設(shè)計(jì)過程中,我深刻的體會(huì)到在設(shè)計(jì)過程中,需要反復(fù)實(shí)踐,其過程很可能相當(dāng)煩瑣,有時(shí)花很長(zhǎng)時(shí)間設(shè)計(jì)出來的電路還是需要重做,那時(shí)心中未免有點(diǎn)灰心,有時(shí)還特別想放棄,此時(shí)更加需要靜下心,查找原因。設(shè)計(jì)思路是最重要的,只要你的設(shè)計(jì)思路是成功的,那你的設(shè)計(jì)已經(jīng)成功了一半。因此我們應(yīng)該在設(shè)計(jì)前做好充分的準(zhǔn)備,像查找詳細(xì)的資料,為我們?cè)O(shè)計(jì)的成功打下堅(jiān)實(shí)的基礎(chǔ)。 設(shè)計(jì)單元電路階段,這個(gè)階段可以說是考察數(shù)電書本知識(shí)的階段。所有的設(shè)計(jì)方法還有步驟在數(shù)電書上都有,而且還有例題。這個(gè)階段遇到的主要問題就是以前的知識(shí)忘記不少,所以做設(shè)計(jì)的時(shí)候要常隨手翻閱課本,等于是做了幾道數(shù)電作業(yè)題。這個(gè)階段的難度也不是很大,一般翻課本就可以找到答案并解決問題。 實(shí)驗(yàn)階段可以說是這次設(shè)計(jì)中最重要的部分,因?yàn)橐郧暗闹皇抢碚摱皇钦嬲膶?shí)體。所以說它是最重要的。實(shí)驗(yàn)階段我們遇到的問題有:對(duì)軟件不熟悉;對(duì)實(shí)驗(yàn)過程中信號(hào)的測(cè)量知識(shí)學(xué)習(xí)很少;因?yàn)楦鱾€(gè)模塊是分開做而后又組裝到一起的,所以兼容性不是很好(也就是不能融合為一個(gè)整體,部分工作能行但是接到一起就會(huì)出現(xiàn)問題);針對(duì)以上幾個(gè)問題我們作出了以下的“對(duì)策”:軟件不熟悉,就借來參考書,一步一步的對(duì)著學(xué),而且老師給的資料上也有軟件的使用說明,所以隨著接觸的增加軟件也就越來越熟悉,這方面的問題不是太難因?yàn)橐贿吚碚撘贿厡W(xué)習(xí)正好是學(xué)習(xí)的好方法,而且也學(xué)的特別快。制作過程是一個(gè)考驗(yàn)人耐心的過程,不能有絲毫的急躁,電路的焊接要一步一步來,焊點(diǎn)多,走線復(fù)雜。這又要我們要靈活處理,一邊操作一邊構(gòu)思,在不影響試驗(yàn)的前提下加快進(jìn)度。另外就是要熟練地掌握課本上的知識(shí),這樣才能對(duì)試驗(yàn)中出現(xiàn)的問題進(jìn)行分析解決。這是應(yīng)用課本知識(shí)的大好時(shí)機(jī)??傊ㄟ^這次練習(xí)我有了很多收獲。在摸索該如何設(shè)計(jì)電路使之實(shí)現(xiàn)所需功能的過程中,特別有趣,培養(yǎng)了我的設(shè)計(jì)思維,增強(qiáng)了動(dòng)手能力。在改進(jìn)電路的過程中,同學(xué)們共同探討,最后的電路已經(jīng)比初期設(shè)計(jì)有了很大提高。在讓我體會(huì)到了設(shè)計(jì)電路的艱辛的同時(shí),更讓我體會(huì)到成功的喜悅和快樂。電路的改進(jìn)方案在計(jì)時(shí)電路中加入運(yùn)放和蜂鳴器運(yùn)放外接12V電源并單獨(dú)接地,搶答時(shí)間結(jié)束時(shí)可以發(fā)出“滴”的聲音表示報(bào)警。 附錄1.總電路圖圖 附1-1 電路原理圖2. 元件引腳圖圖 附2-3 74LS148引腳圖圖 附2-4 74LS192引腳圖圖 附2-2 74LS00引腳圖圖 附2-1 74LS00引腳圖與功能表圖 附2-6 共陰數(shù)碼管引腳圖圖 附2-7 555定時(shí)器引腳圖圖 附2-5 74LS48引腳圖圖 附2-8 74LS279引腳圖3.元器件清單5V共陰數(shù)碼管 3個(gè)譯碼器74LS48 3個(gè)RS觸發(fā)器 74LS279 1個(gè)優(yōu)先編碼器74LS148 1個(gè)可逆計(jì)數(shù)器74LS192 2個(gè)555定時(shí)器 1個(gè)2輸入與非門74LS00 1個(gè)4輸入與非門74LS20 1個(gè)10k電阻 9個(gè)1k電阻4個(gè)50k電阻 1個(gè)15k電阻 1個(gè)100電阻 1個(gè)發(fā)光二極管 2個(gè)觸點(diǎn)開關(guān)8個(gè)單刀雙擲開關(guān) 1個(gè)10F電解電容 1個(gè)0.01F瓷片電容 1個(gè)導(dǎo)線 若干主要參考文獻(xiàn)數(shù)字電子技術(shù)基礎(chǔ) 范文兵 清華大學(xué)出版社 2007數(shù)字電路邏輯設(shè)計(jì)(第三版) 王毓銀 高等教育出版社 2005數(shù)字電路實(shí)驗(yàn)基礎(chǔ) 崔葛瑾 同濟(jì)大學(xué)出版社 2005數(shù)字電路實(shí)驗(yàn)與課程設(shè)計(jì) 呂思忠、施齊云 哈爾濱工程大學(xué)出版社 2001電子線路 設(shè)計(jì) 實(shí)驗(yàn) 測(cè)試(第三版) 謝自美 華中科技大學(xué)出版社 2006Multsim9在電工電子技術(shù)中的應(yīng)用 董玉冰 清華大學(xué)出版社 2008數(shù)字電路及制作實(shí)例 陳振官 國(guó)防工業(yè)出版社 200626

注意事項(xiàng)

本文(8路數(shù)字搶答器 課程設(shè)計(jì)報(bào)告)為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!