九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)電課程設(shè)計(jì) 數(shù)字時(shí)鐘

  • 資源ID:31882974       資源大小:2.01MB        全文頁(yè)數(shù):32頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

數(shù)電課程設(shè)計(jì) 數(shù)字時(shí)鐘

傳播優(yōu)秀Word版文檔 ,希望對(duì)您有幫助,可雙擊去除!機(jī)電工程學(xué)院本科生課程設(shè)計(jì)題 目: 數(shù)字時(shí)鐘 課 程: 數(shù)字電子技術(shù) 專 業(yè): 電氣工程及其自動(dòng)化 班 級(jí): 學(xué) 號(hào): 姓 名: 指導(dǎo)教師: 完成日期: - 24 - / 32 任務(wù)書課程設(shè)計(jì) 數(shù)字電子技術(shù)設(shè)計(jì)課題 數(shù)字時(shí)鐘姓名 院系機(jī)電工程學(xué)院電氣系班級(jí)指導(dǎo)老師 設(shè)計(jì)任務(wù)制作數(shù)字時(shí)鐘設(shè)計(jì)要求1、準(zhǔn)確計(jì)時(shí),用數(shù)碼管顯示小時(shí)、分和秒;2、小時(shí)以24小時(shí)計(jì)時(shí);3、帶有時(shí)間校正功能;4、“鬧鐘”功能;設(shè)計(jì)步驟1、了解數(shù)字時(shí)鐘的原理;2、畫出設(shè)計(jì)的數(shù)字時(shí)鐘電路原理圖和各部分電路圖;3、選擇好元器件及給出參數(shù),在原理圖中反映出來(lái);4、用仿真軟件Mutisim進(jìn)行電路工作情況模擬仿真;5、編寫課程設(shè)計(jì)報(bào)告;工作過(guò)程1、在電腦和圖書館查閱資料,了解數(shù)字時(shí)鐘原理和相關(guān)信息2、畫出設(shè)計(jì)的數(shù)字時(shí)鐘電路原理圖和各部分電路圖;3、選擇好元器件及給出參數(shù),在原理圖中反映出來(lái)。4、將各元件連接起來(lái),用仿真軟件Mutisim進(jìn)行電路工作情況模擬仿真;5、編寫課程設(shè)計(jì)報(bào)告;目 錄1設(shè)計(jì)的目的及任務(wù)(1)1.1 課程設(shè)計(jì)的目的(1)1.2 課程設(shè)計(jì)的任務(wù)與要求(1)1.3 課程設(shè)計(jì)的技術(shù)指標(biāo)(1)2 數(shù)字時(shí)鐘的介紹和原理(2)2.1 數(shù)字時(shí)鐘的介紹(2)2.2 數(shù)字時(shí)鐘的電路組成(2)2.3 數(shù)字時(shí)鐘的工作原理(3)3 數(shù)字時(shí)鐘總設(shè)計(jì)方案和各部分電路設(shè)計(jì)方案 (4)3.1 數(shù)字時(shí)鐘總設(shè)計(jì)方案 (4)3.2 各部分電路設(shè)計(jì)方案(5)3.3 總電路設(shè)計(jì)圖(17)4 電路仿真 (17)5收獲與體會(huì)(24)6 儀器儀表明細(xì)清單 (24)參考文獻(xiàn) (25)1.設(shè)計(jì)的目的及任務(wù)1.1 課程設(shè)計(jì)的目的(1)鞏固所學(xué)的相關(guān)理論知識(shí);(2)實(shí)踐所掌握的電子制作技能;(3)會(huì)運(yùn)用Mutisim工具對(duì)所作出的理論設(shè)計(jì)進(jìn)行模擬仿真測(cè)試,進(jìn)一步完善理論設(shè)計(jì);(4)通過(guò)查閱手冊(cè)和文獻(xiàn)資料,熟悉常用電子器件的類型和特性,并掌握合理選用元器件的原則;(5)掌握模擬電路的安裝測(cè)量與調(diào)試的基本技能,熟悉電子儀器的正確使用方法,能力(6)分析實(shí)驗(yàn)中出現(xiàn)的正?;虿徽,F(xiàn)象(或數(shù)據(jù))獨(dú)立解決調(diào)試中所發(fā)生的問(wèn)題;(7)學(xué)會(huì)撰寫課程設(shè)計(jì)報(bào)告; 1.2 課程設(shè)計(jì)的任務(wù)與要求(1) 根據(jù)技術(shù)指標(biāo)要求及實(shí)驗(yàn)室條件設(shè)計(jì)出電路圖,分析工作原理,計(jì)算元件參數(shù);(2) 列出所有元器件清單;(3) 安裝調(diào)試所設(shè)計(jì)的電路,達(dá)到設(shè)計(jì)要求;(4) 記錄實(shí)驗(yàn)結(jié)果。1.3 課程設(shè)計(jì)的技術(shù)指標(biāo)(1)準(zhǔn)確計(jì)時(shí),用數(shù)碼管顯示小時(shí)、分和秒;(2)小時(shí)以24小時(shí)計(jì)時(shí);(3)帶有時(shí)間校正功能;(4)“鬧鐘”功能;2.數(shù)字時(shí)鐘的介紹和原理2.1 數(shù)字時(shí)鐘的介紹 數(shù)字時(shí)鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更長(zhǎng)的使用壽命,已得到廣泛的使用。數(shù)字時(shí)鐘的設(shè)計(jì)方法有許多種,例如,可用中小規(guī)模集成電路組成電子鐘;也可以利用專用的電子鐘芯片配以顯示電路及其所需要的外圍電路組成電子鐘;還可以利用單片機(jī)來(lái)實(shí)現(xiàn)電子鐘等等。數(shù)字時(shí)鐘的組成一般由計(jì)數(shù)器、譯碼器、顯示器、振蕩器及分頻器等幾部分組成。振蕩器產(chǎn)生的時(shí)標(biāo)信號(hào)送入分頻器,分頻器將其送入的時(shí)標(biāo)信號(hào)分頻成秒脈沖信號(hào)。再把秒脈沖送入計(jì)數(shù)器進(jìn)行計(jì)數(shù),并把累計(jì)的計(jì)數(shù)結(jié)果以“時(shí)”、“分”、“秒”的數(shù)字顯示出來(lái)。“秒”的顯示由二級(jí)計(jì)數(shù)器和譯碼器組成六十進(jìn)制計(jì)數(shù)器電路來(lái)實(shí)現(xiàn),“分”的顯示電路與秒相同?!皶r(shí)”的顯示由二級(jí)計(jì)數(shù)器和譯碼器組成的二十四進(jìn)制計(jì)數(shù)器電路來(lái)實(shí)現(xiàn)。數(shù)字鐘已成為人們?nèi)粘I钪斜夭豢缮俚奈锲?,廣泛用于個(gè)人家庭以及車站、碼頭、劇場(chǎng)、辦公室等公共場(chǎng)所,給人們的生活、學(xué)習(xí)、工作、娛樂(lè)帶來(lái)極大的方便。鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便。它擴(kuò)展了鐘表原有的報(bào)時(shí)功能,諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播、定時(shí)啟閉電路、定時(shí)開(kāi)關(guān)烘箱、通斷動(dòng)力設(shè)備,甚至各種定時(shí)電氣的自動(dòng)啟用等,這些都是以鐘表數(shù)字化為基礎(chǔ)的。因此,研究數(shù)字電子鐘以及擴(kuò)大其在生活中的應(yīng)用,有著非?,F(xiàn)實(shí)的意義。盡管目前市場(chǎng)上已有現(xiàn)成的數(shù)字鐘集成電路芯片,價(jià)格便宜,使用也非常方便。鑒于數(shù)字鐘電路的基本組成包含了數(shù)字電路的主要組成部分,為了幫助同學(xué)們將已經(jīng)學(xué)過(guò)的比較零散的數(shù)字電路的知識(shí)能夠有機(jī)的、系統(tǒng)地聯(lián)系起來(lái)用于實(shí)際,培養(yǎng)綜合分析、設(shè)計(jì)電路的能力,進(jìn)行數(shù)字鐘的設(shè)計(jì)是必要的。2.2 數(shù)字時(shí)鐘的電路組成數(shù)字時(shí)鐘是用數(shù)字集成電路構(gòu)成,用數(shù)碼顯示的一種現(xiàn)代化計(jì)數(shù)器。本系統(tǒng)由振蕩器、分頻器、校時(shí)電路、計(jì)數(shù)器、譯碼顯示器以及電源電路組成。秒脈沖發(fā)生電路產(chǎn)生秒脈沖信號(hào),不同進(jìn)制的計(jì)數(shù)器、譯碼器和顯示器組成計(jì)時(shí)系統(tǒng),通過(guò)校時(shí)電路實(shí)現(xiàn)對(duì)時(shí)、分的校準(zhǔn),電源電路提供穩(wěn)定的+5v的電壓。2.3 數(shù)字時(shí)鐘的工作原理 數(shù)字時(shí)鐘實(shí)際上是一個(gè)對(duì)1HZ頻率進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1HZ時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定。通常使用石英晶體振蕩器電路構(gòu)成數(shù)字時(shí)鐘,但是出于對(duì)材料和成本的考慮,我們決定設(shè)計(jì)較簡(jiǎn)單的中小規(guī)模集成電路組成電子鐘,采用由555定時(shí)器和RC電路構(gòu)成振蕩器的方案。圖 1系統(tǒng)原理框圖(1)振蕩器電路:一般說(shuō)來(lái),振蕩器的頻率越高,計(jì)時(shí)精度越高。本設(shè)計(jì)中采用由集成定時(shí)器555與RC組成的多諧振蕩器,經(jīng)過(guò)調(diào)整輸出1000Hz脈沖。 (2)分頻器電路:分頻器電路將1000HZ的方波信號(hào)經(jīng)1000次分頻后得到1Hz的方波信號(hào)供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)。分頻器實(shí)際上也就是計(jì)數(shù)器。(3)時(shí)間計(jì)數(shù)器電路:時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。(4)譯碼顯示電路:譯碼顯示電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流,我們采用自帶譯碼功能的數(shù)碼管。(5)整點(diǎn)報(bào)時(shí)電路:一般時(shí)鐘都應(yīng)具備整點(diǎn)報(bào)時(shí)電路功能,即在時(shí)間出現(xiàn)整點(diǎn)前數(shù)秒內(nèi),數(shù)字鐘會(huì)自動(dòng)報(bào)時(shí)。其作用方式是在整點(diǎn)前的十秒內(nèi),出現(xiàn)奇數(shù)秒時(shí)報(bào)時(shí)燈發(fā)光,從而實(shí)現(xiàn)在最后十秒內(nèi)閃爍五次,以示提醒。(6)校時(shí)電路:由于數(shù)字鐘的初始時(shí)間不一定是標(biāo)準(zhǔn)時(shí)間,而且在數(shù)字鐘的運(yùn)行過(guò)程中可能出現(xiàn)誤差,所以需要校時(shí)電路來(lái)對(duì)“時(shí)、分”顯示數(shù)字進(jìn)行校對(duì)調(diào)整。3.數(shù)字時(shí)鐘總設(shè)計(jì)方案和各部分設(shè)計(jì)方案3.1 數(shù)字時(shí)鐘總設(shè)計(jì)方案數(shù)字時(shí)鐘有振蕩器、分頻器、計(jì)數(shù)器、譯碼顯示、報(bào)時(shí)等電路組成。其中,振蕩器和分頻器組成標(biāo)準(zhǔn)秒信號(hào)發(fā)生器,直接決定計(jì)時(shí)系統(tǒng)的精度。由不同進(jìn)制的計(jì)數(shù)器、譯碼器和顯示器組成計(jì)時(shí)系統(tǒng)。將標(biāo)準(zhǔn)秒信號(hào)送入采用六十進(jìn)制的“秒計(jì)數(shù)器”,每秒計(jì)60s就發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖?!胺钟?jì)數(shù)器”也采用六十進(jìn)制計(jì)數(shù)器,每累計(jì)60min,發(fā)出一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被送到“時(shí)計(jì)數(shù)器”。“時(shí)計(jì)數(shù)器”采用二十四或十二進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24h或12h的累計(jì)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器的輸出狀態(tài)通過(guò)六位七段譯碼顯示器顯示出來(lái),可進(jìn)行整點(diǎn)報(bào)時(shí),計(jì)時(shí)出現(xiàn)誤差時(shí),可以用校時(shí)電路校時(shí)、校分。數(shù)字時(shí)鐘的原理框圖如下圖2.1所示。圖2.1 數(shù)字時(shí)鐘的原理框圖3.2 各部分電路設(shè)計(jì)方案3.2.1 秒脈沖產(chǎn)生電路秒脈沖產(chǎn)生電路的功能是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號(hào),主要由振蕩器和分頻器組成。振蕩器是計(jì)數(shù)器的核心,振蕩器的穩(wěn)定度和頻率的精準(zhǔn)度決定了計(jì)時(shí)器的準(zhǔn)確度,可由石英晶體振蕩電路或555定時(shí)器與RC組成的多諧振蕩器構(gòu)成。一般來(lái)說(shuō),振蕩器的頻率越高,計(jì)時(shí)的精度就越高,但耗電量將增大,故設(shè)計(jì)時(shí)一定要根據(jù)需要設(shè)計(jì)出最佳的電路。石英晶體振蕩器具有頻率準(zhǔn)確、振蕩穩(wěn)定、溫度系數(shù)小的特點(diǎn),但是如果精度要求不高的話可以采用555構(gòu)成的多諧振蕩器。秒脈沖產(chǎn)生電路在此例中的主要功能有兩個(gè):一是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號(hào),二是可提供整點(diǎn)報(bào)時(shí)所需要的頻率信號(hào)。在下面電路設(shè)計(jì)中,為了簡(jiǎn)化電路,秒脈沖產(chǎn)生電路用一個(gè)1Hz的秒脈沖時(shí)鐘信號(hào)源替代。3.2.1.1 555構(gòu)成的多諧振蕩器秒脈沖產(chǎn)生電路主要是由一個(gè)555定時(shí)器和三個(gè)十進(jìn)制計(jì)數(shù)器74160構(gòu)成。其中,555定時(shí)器與RC組成多諧振蕩器,三個(gè)計(jì)數(shù)器74160組成分頻器。其邏輯圖如圖3.2.1.1所示。圖3.2.1.1 555構(gòu)成的多諧振蕩器而成的秒脈沖產(chǎn)生電路邏輯圖其中555定時(shí)器的引腳圖和功能表如圖3.2和圖3.3所示,其中選取R1=R2=1k,C1=470nF,C2=10nF,從而多諧振蕩器的頻率為:圖3.2.1.2 555定時(shí)器的引腳圖圖3.2.1.3 555定時(shí)器的功能表 圖3.2.1.4 計(jì)數(shù)器74160的引腳圖 圖3.2.1.5 計(jì)數(shù)器74160的邏輯圖圖3.2.1.6 計(jì)數(shù)器74160的功能表160為可預(yù)置的十進(jìn)制同步計(jì)數(shù)器,共有 74160和74LS160兩種線路結(jié)構(gòu)型式,其管腳圖如圖3.2.1.4所示, 160 的清除端是異步的,當(dāng)清除端/MR為低電平時(shí),不管時(shí)鐘端CP狀態(tài)如何,即可完成清除功能。160的預(yù)置是同步的。當(dāng)置入控制器/PE為低電平時(shí),在CP上升沿作用下,輸出端Q0Q3與數(shù)據(jù)輸入端P0P3一致。當(dāng)CP由低至高跳變或跳變前,如果計(jì)數(shù)控制端CEP、CET為高電平,則/PE 應(yīng)避免由低至高電平的跳變。160 的計(jì)數(shù)是同步的??緾P同時(shí)加在四個(gè)觸發(fā)器上而實(shí)現(xiàn)的。當(dāng)CEP、CET 均為高電平時(shí),在CP上升沿作用下Q0Q3同時(shí)變化,從而消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰。對(duì)于74160,只有當(dāng) CP 為高電平時(shí),CEP、CET 才允許由高至低電平的跳變。160有超前進(jìn)位功能。當(dāng)計(jì)數(shù)溢出時(shí),進(jìn)位輸出端(TC)輸出一個(gè)高電平脈沖,其寬度為 Q0 的高電平部分。在不外加門電路的情況下,可級(jí)聯(lián)成N 位同步計(jì)數(shù)器。計(jì)數(shù)器74160的引出端符號(hào)如下:電路中多諧振蕩器輸出的是1kHz的脈沖信號(hào),此信號(hào)作為第一級(jí)計(jì)數(shù)器的時(shí)鐘信號(hào)。計(jì)數(shù)器的四個(gè)使能端ENP、ENT、LOAD、CLR均接高電平、由于74160是十進(jìn)制計(jì)數(shù)器,因此計(jì)數(shù)器每計(jì)數(shù)滿10次有一個(gè)進(jìn)位信號(hào),此信號(hào)即為第一級(jí)計(jì)數(shù)器分頻后得到的100Hz的脈沖信號(hào),將這個(gè)信號(hào)接在下一級(jí)計(jì)數(shù)器的時(shí)鐘信號(hào)端CLK則可實(shí)現(xiàn)繼續(xù)分頻,經(jīng)過(guò)兩個(gè)74160逐級(jí)分頻后依次得到10Hz和1Hz的脈沖信號(hào)。其電路仿真圖如圖3.2.1.7所示,用一個(gè)四通道的示波器可以清楚看到四個(gè)脈沖信號(hào)的波形如圖3.2.1.8所示。圖3.2.1.7 秒脈沖產(chǎn)生電路仿真圖圖3.2.1.8 千分頻秒脈沖信號(hào)仿真波形3.2.2 計(jì)數(shù)器電路根據(jù)數(shù)字時(shí)鐘的原理框圖2.1可知,整個(gè)計(jì)數(shù)器電路由秒計(jì)數(shù)器、分計(jì)數(shù)器和時(shí)計(jì)數(shù)器串接而成。秒脈沖信號(hào)經(jīng)過(guò)6級(jí)計(jì)數(shù)器,分別得到秒個(gè)位、秒十位、分個(gè)位、分十位以及時(shí)個(gè)位、時(shí)十位的計(jì)時(shí)。顯示6位的“時(shí)”、“分”、“秒”需要6片中規(guī)模的計(jì)數(shù)器。其中,秒計(jì)數(shù)器和分計(jì)數(shù)器都是六十進(jìn)制,時(shí)計(jì)數(shù)器為二十四/十二進(jìn)制,都選用74160來(lái)實(shí)現(xiàn)。實(shí)現(xiàn)的方法采用反饋清零法。3.2.2.1 六十進(jìn)制計(jì)數(shù)電路秒計(jì)數(shù)器和分計(jì)數(shù)器各由一個(gè)十進(jìn)制計(jì)數(shù)器(個(gè)位)和一個(gè)六進(jìn)制計(jì)數(shù)器(十位)串接組成,形成兩個(gè)六十進(jìn)制計(jì)數(shù)器,其中個(gè)位計(jì)數(shù)器接成十進(jìn)制形式。十位計(jì)數(shù)器選擇QB與QC端做反饋端,經(jīng)與非門輸出至控制清零端CLR,接成六進(jìn)制計(jì)數(shù)形式(計(jì)數(shù)至0110時(shí)清零)。個(gè)位與十位計(jì)數(shù)器之間采用同步級(jí)聯(lián)復(fù)位方式,將個(gè)位計(jì)數(shù)器的進(jìn)位輸出端RCO接至十位計(jì)數(shù)器的時(shí)鐘信號(hào)輸入端CLK,完成個(gè)位對(duì)十位計(jì)數(shù)器的進(jìn)位控制。將十位計(jì)時(shí)器的反饋清零信號(hào)經(jīng)非門輸出,作為六十進(jìn)制的進(jìn)位輸出脈沖信號(hào),即當(dāng)計(jì)數(shù)器計(jì)數(shù)至60時(shí),反饋清零的低電平信號(hào)輸入CLR端,同時(shí)經(jīng)非門變?yōu)楦唠娖?,在同步?jí)聯(lián)方式下,控制高位計(jì)數(shù)器的計(jì)數(shù)。創(chuàng)建如圖3.2.2.1所示的電路,IO1IO4是個(gè)位數(shù)碼管的顯示輸出端,IO5IO8是十位數(shù)碼管的顯示輸出端,IO9接電源,給兩個(gè)芯片的使能端提供高電平,IO10在此電路作為秒計(jì)數(shù)電路時(shí)接秒信號(hào)產(chǎn)生電路,作為分計(jì)數(shù)電路時(shí)接秒計(jì)數(shù)電路提供過(guò)來(lái)的進(jìn)位信號(hào)(即接至秒計(jì)數(shù)器的CLR端)。IO11作為低位計(jì)數(shù)器的進(jìn)位輸出,與高位計(jì)數(shù)器的時(shí)鐘信號(hào)端相連。圖3.2.2.1六十進(jìn)制計(jì)數(shù)電路3.2.2.2 二十四/十二進(jìn)制計(jì)數(shù)電路創(chuàng)建如圖3.2.2.2所示的電路,IO1IO4是個(gè)位數(shù)碼管的顯示輸出端,IO5IO8是十位數(shù)碼管的顯示輸出端,IO9接電源,給兩個(gè)芯片的使能端提供高電平,IO10接分計(jì)數(shù)電路提供過(guò)來(lái)的進(jìn)位信號(hào)(即接至分計(jì)數(shù)器的CLR端)。IO11連接了兩個(gè)計(jì)數(shù)器的清零端,因此可以通過(guò)雙向開(kāi)關(guān)接IO12和IO13以實(shí)現(xiàn)對(duì)與非門的選擇,從而完成進(jìn)制的轉(zhuǎn)換。分計(jì)數(shù)器需要的是一個(gè)二十四/十二進(jìn)制轉(zhuǎn)換的遞增計(jì)數(shù)電路。個(gè)位和十位計(jì)數(shù)器均連接成十進(jìn)制計(jì)數(shù)形式,采用同步級(jí)聯(lián)復(fù)位方式。將個(gè)位計(jì)數(shù)器進(jìn)位輸出端RCO接至十位計(jì)數(shù)器的時(shí)鐘信號(hào)輸入端CLK,完成個(gè)位對(duì)十位計(jì)數(shù)器的進(jìn)位控制。若選擇二十四進(jìn)制,十位計(jì)數(shù)器的輸出端QB和個(gè)位計(jì)數(shù)器的輸出端QC通過(guò)與非門控制兩片計(jì)數(shù)器的清零端CLR,當(dāng)計(jì)數(shù)器的輸出狀態(tài)為00100100時(shí),立即反饋清零,從而實(shí)現(xiàn)二十四進(jìn)制遞增計(jì)數(shù)。若選擇十二進(jìn)制,十位計(jì)數(shù)器的輸出端QA和個(gè)位計(jì)數(shù)器的輸出端QB通過(guò)與非門控制兩片計(jì)數(shù)器的清零端CLR,當(dāng)計(jì)數(shù)器的輸出狀態(tài)為00010010時(shí),立即反饋清零,從而實(shí)現(xiàn)十二進(jìn)制遞增計(jì)數(shù)。兩個(gè)與非門通過(guò)一個(gè)雙向開(kāi)關(guān)接至兩片計(jì)數(shù)器的清零端CLR,單擊開(kāi)關(guān)就可以選擇與非門的輸出,實(shí)現(xiàn)二十四進(jìn)制或十二進(jìn)制遞增計(jì)數(shù)的轉(zhuǎn)換。圖3.2.2.2二十四/十二進(jìn)制計(jì)數(shù)電路3.2.3 譯碼顯示電路采用共陰極七段數(shù)碼管將譯碼顯示電路是將計(jì)數(shù)器輸出的8421 BCD碼譯成數(shù)碼管顯示所需要的高低電平。譯碼電路就應(yīng)選接與它配套的共陰極七段數(shù)碼驅(qū)動(dòng)器。譯碼顯示電路采用CD45117段譯碼驅(qū)動(dòng)器。譯碼器A、B、C、D與十進(jìn)制計(jì)數(shù)器的四個(gè)輸出端相連接,a、b、c、d、e、f、g即為驅(qū)動(dòng)七段數(shù)碼顯示器的信號(hào)。根據(jù)A、B、C、D所得的計(jì)數(shù)信號(hào),數(shù)碼管顯示的相對(duì)應(yīng)的字型。3.2.3.1 七段數(shù)碼管圖3.2.3.1 七段數(shù)碼管的引腳圖3.2.3.2 CD4511譯碼器為了使數(shù)碼管能顯示十進(jìn)制數(shù)。必須將十進(jìn)制數(shù)代碼經(jīng)譯碼器譯出,然后經(jīng)驅(qū)動(dòng)器點(diǎn)亮對(duì)應(yīng)的段。所以,譯碼器的功能就是,對(duì)應(yīng)于某一組數(shù)碼輸入,相應(yīng)的幾個(gè)輸出端有有效信號(hào)輸出。常用的集成七段顯示譯碼器有兩類,一類譯碼器輸出高電平有效信號(hào),用來(lái)驅(qū)動(dòng)共陰極顯示器,另一類輸出低電平有效信號(hào),以驅(qū)動(dòng)共陽(yáng)極顯示器。CD4511七段顯示譯碼器的邏輯符號(hào)如圖3.2.3.2所示,功能表如圖3.2.3.3所示。當(dāng)輸入8421BCD碼時(shí),輸出高電平有效。用以驅(qū)動(dòng)共陰極顯示器。當(dāng)輸入為10101111六個(gè)狀態(tài)時(shí),輸出全為低電平,顯示器無(wú)顯示。圖3.2.3.2 CD4511邏輯符號(hào)(引腳圖)該集成顯示譯碼器設(shè)有三個(gè)輔助控制端LE、,以增強(qiáng)器件的功能,現(xiàn)分別簡(jiǎn)述如下:燈測(cè)試輸入端當(dāng)=0時(shí),無(wú)論其他輸入端是什么狀態(tài),所有各段輸出ag均為1,顯示字形。該輸入端常用于檢查譯碼器本身及顯示器各段的好壞。滅燈輸入當(dāng)=0,并且=1時(shí),無(wú)論其他輸入端是什么電平,所有各段輸出ag均為0,所有字形熄滅。該輸入端用于將不必要顯示的零熄滅。鎖存使能輸入LE在=1的條件下,當(dāng)LE=0時(shí),鎖存器不工作,譯碼器的輸出隨輸入碼的變化而變化;當(dāng)LE由0跳變1時(shí),輸入碼被鎖存,輸出只取決于鎖存器的內(nèi)容,不再隨輸入的變化而變化。圖3.2.3.2 CD4511的功能表圖3.2.3.4 顯示器顯示字形3.2.3.3 小時(shí)譯碼顯示子電路只需在=1并且LE=0時(shí),譯碼器的輸出隨輸入碼的變化而變化,所以只要把4511譯碼器的數(shù)據(jù)輸入端與74160計(jì)數(shù)器的輸出端相連即可。而分鐘和秒譯碼顯示電路也是如此,如圖3.2.3.5所示。圖3.2.3.5小時(shí)譯碼顯示子電路(六十進(jìn)制計(jì)數(shù))3.2.3.4 分鐘/秒譯碼顯示子電路圖3.2.3.6 分鐘/秒譯碼顯示子電路(十二、二十四進(jìn)制計(jì)數(shù))3.2.4 校時(shí)、校分電路校對(duì)時(shí)間一般在選定的標(biāo)準(zhǔn)時(shí)間到來(lái)之前進(jìn)行,可分為4個(gè)步驟:首先把時(shí)計(jì)數(shù)器置到所需的數(shù)字;然后再將分計(jì)數(shù)器置到所需的數(shù)字;與此同時(shí)或之后應(yīng)將秒計(jì)數(shù)器清零,時(shí)鐘暫停計(jì)數(shù),處于等待啟動(dòng)階段;當(dāng)選定的標(biāo)準(zhǔn)時(shí)刻到達(dá)的瞬間,按啟動(dòng)按鈕,電路則從所預(yù)置時(shí)間開(kāi)始計(jì)數(shù)。由此可知,校時(shí),校分電路應(yīng)具有預(yù)置小時(shí)、預(yù)置分、等待啟動(dòng)、計(jì)時(shí)4個(gè)階段。在設(shè)計(jì)電路時(shí)既要方便可靠地實(shí)現(xiàn)校時(shí)校分的功能,又不能影響時(shí)鐘的正常計(jì)時(shí),通常采用邏輯門切換。當(dāng)Q=1時(shí),輸入的預(yù)置信號(hào)可以傳到時(shí)計(jì)數(shù)器的CLK端,進(jìn)行校時(shí)工作,而分進(jìn)位信號(hào)被封鎖。例如,校時(shí)電路原理示意圖如圖3.2.4.1所示。當(dāng)Q=0時(shí),分進(jìn)位信號(hào)可以傳到時(shí)計(jì)數(shù)器的CLK端,進(jìn)行計(jì)時(shí)工作,而輸入的預(yù)置信號(hào)分進(jìn)位信號(hào)被封鎖。校分電路也仿照此進(jìn)行。圖3.2.4.1 校時(shí)電路原理框圖當(dāng)然上述方法比較精確,也比較復(fù)雜,在精度要求不高時(shí),也可以采用另一種方法。只需使用兩個(gè)雙向選擇開(kāi)關(guān)將秒脈沖直接引入時(shí)計(jì)數(shù)器的分計(jì)數(shù)器即可實(shí)現(xiàn)功能。此時(shí),低位計(jì)數(shù)器的進(jìn)位信號(hào)輸出端需通過(guò)雙向選擇開(kāi)關(guān)的其中一選擇端接至高位計(jì)數(shù)器的時(shí)鐘信號(hào)端,開(kāi)關(guān)的另一選擇端接秒脈沖信號(hào)。當(dāng)日常顯示時(shí)間時(shí),開(kāi)關(guān)撥向低位計(jì)數(shù)器的進(jìn)位信號(hào)輸出端;調(diào)時(shí)調(diào)分時(shí)撥向秒脈沖信號(hào),這樣可使計(jì)數(shù)器自動(dòng)跳至所需要的時(shí)間。(具體見(jiàn)總電路圖)3.2.5 整點(diǎn)報(bào)時(shí)電路當(dāng)時(shí)間到達(dá)整點(diǎn)前10秒開(kāi)始,蜂鳴器1秒響1秒停地響5次。即當(dāng)時(shí)間達(dá)到時(shí)59分50秒時(shí)蜂鳴器開(kāi)始響第一次,并持續(xù)一秒鐘,然后停鳴一秒,這樣響五次。利用與非門的相與功能,而已把分十位的OC 、OA ,分個(gè)位的QD、QA,秒十位的QC、QA 和秒個(gè)位的QA相“與非”作為控制信號(hào)控制與非門的開(kāi)斷,從而控制蜂鳴器的響和停。圖3.2.5.1 整點(diǎn)報(bào)時(shí)電路3.3 總電路設(shè)計(jì)圖4.數(shù)字時(shí)鐘電路仿真4.1 開(kāi)始狀態(tài)圖4.1.1 開(kāi)始狀態(tài)4.2 校時(shí)、校分功能圖4.2.1校時(shí)、校分功能4.3 十二進(jìn)制與二十四進(jìn)制轉(zhuǎn)換功能圖4.3.1十二進(jìn)制與二十四進(jìn)制轉(zhuǎn)換功能4.4 滿60秒向分鐘進(jìn)位狀態(tài)圖4.4.1滿60秒向分鐘進(jìn)位狀態(tài)4.5 滿60分向小時(shí)進(jìn)位狀態(tài)圖4.5.1 滿60分向小時(shí)進(jìn)位狀態(tài)4.6 23:59:59向00:00:00進(jìn)位狀態(tài)圖4.6.1 23:59:59向00:00:00進(jìn)位狀態(tài)6.收獲與體會(huì)通過(guò)這次對(duì)數(shù)字時(shí)鐘的課程設(shè)計(jì),讓我更加明白了設(shè)計(jì)電路的程序,讓我更加深入了解了數(shù)字時(shí)鐘的設(shè)計(jì)理念和思路,也更加扎實(shí)的掌握了有關(guān)數(shù)字電子技術(shù)方面的知識(shí)。盡管這次實(shí)驗(yàn)做得并不是很順利,在設(shè)計(jì)過(guò)程中碰到了很大的困難。一開(kāi)始還比較迷茫,但是我沒(méi)有失去信心,通過(guò)不斷地查閱文獻(xiàn),不斷地思考,最終大體上算是成功了,讓我明白了學(xué)習(xí)理論知識(shí)的重要性,做任何設(shè)計(jì)都需要強(qiáng)大的理論知識(shí)。此次電路設(shè)計(jì)讓我得到了多方面的鍛煉。一方面我對(duì)數(shù)字電子技術(shù)專業(yè)知識(shí)有了進(jìn)一步的了解,并熟悉了更多的電子儀器的使用方法,了解常用的電子器件的類型和特征,同時(shí)學(xué)會(huì)了如何合理選用電子器件的原則。也通過(guò)使用型數(shù)字電子電路設(shè)計(jì)、安裝、調(diào)試等各環(huán)節(jié),也培養(yǎng)了我運(yùn)用課程中所學(xué)的理論與實(shí)踐緊密相結(jié)合,獨(dú)立地解決實(shí)際問(wèn)題的能力。讓我知道了在以后的學(xué)習(xí)中,生活中,我會(huì)勤于思考,勤于動(dòng)手,不拍困難的好習(xí)慣。再一方面電子電路的安裝與調(diào)試技能培養(yǎng)了我創(chuàng)新能力和對(duì)治學(xué)要嚴(yán)謹(jǐn)?shù)膽B(tài)度。雖然此次課程設(shè)計(jì)做起來(lái)有點(diǎn)困難,但是在設(shè)計(jì)過(guò)程中所學(xué)到的東西是這次課程設(shè)計(jì)的做大收獲和財(cái)富,它將使我終身受益。7.儀器儀表明細(xì)清單表元器件清單表元器件名稱個(gè)數(shù)型號(hào)十進(jìn)制計(jì)數(shù)器7個(gè)74LS160555定時(shí)器1個(gè)LM555CM數(shù)碼顯示器6個(gè)共陰極蜂鳴器1個(gè)電容1個(gè)20pf電阻4個(gè)500歐姆非門芯片2個(gè)74LS04D雙輸入與非門芯片2個(gè)7400N帶有施密特觸發(fā)的非門芯片1個(gè)74LS14D八輸入與非門芯片1個(gè)74HC30N譯碼器芯片6個(gè)4511BD單刀雙擲開(kāi)關(guān)3個(gè)雙D正沿觸發(fā)器1個(gè)74LS74參考文獻(xiàn)(1) 顧三春 仝迪、電子技術(shù)試驗(yàn) 、2009、化學(xué)工業(yè)出版社(2)江曉安 董秀峰、數(shù)字電子技術(shù)第三版 、2008、西安電子科技大學(xué)出版社.(3)邱關(guān)源、電路第五版、2006、高等教育出版社(4)Multisim 11電路設(shè)計(jì)及應(yīng)用 、2010、國(guó)防工業(yè)出版社(5)孫勝麟 、電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與仿真、2008、中南大學(xué)出版社(6)郭照南 、電子技術(shù)與EDA課程設(shè)計(jì)技術(shù)、2010、中南大學(xué)出版社 溫馨提示:最好仔細(xì)閱讀后才下載使用,萬(wàn)分感謝!

注意事項(xiàng)

本文(數(shù)電課程設(shè)計(jì) 數(shù)字時(shí)鐘)為本站會(huì)員(優(yōu)***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!