九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

八路搶答器設(shè)計(jì)論文

  • 資源ID:32758580       資源大小:984KB        全文頁(yè)數(shù):20頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

八路搶答器設(shè)計(jì)論文

編 號(hào): 審定成績(jī): XXXX大學(xué)畢業(yè)設(shè)計(jì)(論文)設(shè)計(jì)(論文)題目:?jiǎn)纹瑱C(jī)數(shù)字搶答器的實(shí)現(xiàn)學(xué) 院 名 稱(chēng) :計(jì)算機(jī)科學(xué)與技術(shù)學(xué)院學(xué) 生 姓 名 :X X專(zhuān) 業(yè) :計(jì)算機(jī)科學(xué)與技術(shù)專(zhuān)業(yè)班 級(jí) :0490xxx學(xué) 號(hào) :0610xxxx指 導(dǎo) 教 師 :X X X答辯組 負(fù)責(zé)人 :填表時(shí)間: 2009 年 5 月XXXX大學(xué)教務(wù)處目 錄引言1第一章 設(shè)計(jì)任務(wù)2(1) 設(shè)計(jì)要求2(2) 功能要求3第二章 主要器件介紹4(1) 74LS148 4 (2) 74LS485(3) 74LS192及74LS006(4) 74LS121及74LS2797 (5) NE555及74LS118第三章 設(shè)計(jì)方案9(1) 搶答功能設(shè)計(jì)9 (2) 定時(shí)功能設(shè)計(jì)及其總方案11第四章 電路實(shí)現(xiàn)及電路調(diào)試15第五章 結(jié)論16第六章 參考文獻(xiàn)17附錄117 引言數(shù)字電路產(chǎn)品在生活中有著極其廣泛的應(yīng)用,包括計(jì)算機(jī)、數(shù)字通信、智能儀器儀表、自動(dòng)控制及航天等領(lǐng)域中。這些給人們帶來(lái)了生活,工作等方面帶來(lái)了極大的方便。工廠、學(xué)校和電視臺(tái)等單位常舉辦各種智力競(jìng)賽, 搶答器是必要設(shè)備。在我校舉行的各種競(jìng)賽中我們經(jīng)??吹接袚尨鸬沫h(huán)節(jié),舉辦方多數(shù)采用讓選手通過(guò)舉答題板的方法判斷選手的答題權(quán),這在某種程度上會(huì)因?yàn)橹鞒秩说闹饔^誤斷造成比賽的不公平性。為解決這個(gè)問(wèn)題,我們小組準(zhǔn)備就本次課設(shè)的機(jī)會(huì)制作一個(gè)低成本但又能滿足學(xué)校需要的八路數(shù)顯搶答器。搶答器在比賽等場(chǎng)合中不可缺少的設(shè)備。本文就是從數(shù)字電路芯片的功能簡(jiǎn)介開(kāi)始,利用功能不同的數(shù)字電路芯片的組合來(lái)實(shí)現(xiàn)多路搶答器的功能。首先簡(jiǎn)要的介紹了數(shù)字電路的發(fā)展和演變。然后介紹幾個(gè)主要集成芯片的管腳功能和用法。最后介紹多路搶答器的原理和設(shè)計(jì)過(guò)程??偨Y(jié)與改進(jìn)部分,講一些電路在實(shí)際設(shè)計(jì)調(diào)試中的不足,并加以改進(jìn)。智力競(jìng)賽是一種生動(dòng)活潑的教育方式,而搶答就是智力競(jìng)賽中非常常見(jiàn)的一種答題方式。搶答能引起參賽者和觀眾的極大興趣,并且能在極短的時(shí)間內(nèi),使人們迅速增加一些科學(xué)知識(shí)和生活常識(shí)。但是,在這類(lèi)比賽中,對(duì)于誰(shuí)先誰(shuí)后搶答,在何時(shí)搶答,如何計(jì)算答題時(shí)間等等問(wèn)題,若是僅憑主持人的主觀判斷,就很容易出現(xiàn)誤判。所以,我們就需要一種具備自動(dòng)鎖存,置位,清零等功能智能搶答器來(lái)解決這些問(wèn)題。 第第一章 設(shè)計(jì)任務(wù) (1)設(shè)計(jì)要求搶答器主要是基于 7 4系列集成芯片組成電路各個(gè)部分,成本較低 ,且基本能夠使用于學(xué)校的一些活動(dòng)中。采用74LS148編碼器和74LS279 RS鎖存器組成 搶答器的核心部分搶答電路。采用74LS192十進(jìn)制加/減計(jì)數(shù)器設(shè)計(jì)搶答器的定時(shí)部分,計(jì)數(shù)器的時(shí)鐘脈沖電路提供。采用555定時(shí)器和三極管構(gòu)成報(bào)警電路,時(shí)序控制電路由74LS121產(chǎn)生。采用七段共陰極LED數(shù)碼管顯示搶答序號(hào)和定時(shí)時(shí)間,由74LS48數(shù)字顯示譯碼管顯示數(shù)碼管。設(shè)計(jì)器材統(tǒng)計(jì)如下表:(設(shè)計(jì)中些基本的器材則實(shí)驗(yàn)室提供)74LS48 3個(gè)電阻68 K 1個(gè)74LS121 1個(gè)電阻510 2個(gè)74LS148 1個(gè)電容10uF 2個(gè)74LS192 2個(gè)電容0.1uF 1個(gè)74LS279 1個(gè)電容100uF 1個(gè)NE555 2個(gè)發(fā)光二極管 2個(gè)電阻1 K 1個(gè)按鍵開(kāi)關(guān) 9個(gè)電阻10 K 9個(gè)共陰數(shù)碼管 3個(gè)電阻15 K 1個(gè)74LS00 1個(gè)電阻4.7 K 1個(gè)74LS11 1個(gè)電阻5.1 K 1個(gè)三極管3DG12 1只電阻100 K 1個(gè)(2)功能要求將主要設(shè)計(jì)一個(gè)供八人使用的定時(shí)搶答器,他要實(shí)現(xiàn)以下主要功能:(1)為8位參賽選手各提供一個(gè)搶答按鈕,分別編號(hào)S0、S1、S2、S3、S4、S5、S6、S7;(2)主持人可以控制系統(tǒng)的清零與搶答開(kāi)始;(3)搶答器要有數(shù)據(jù)鎖存與顯示的功能。搶答開(kāi)始后,若有任何一名選手按動(dòng)搶答按鈕,則要顯示其編號(hào)至系統(tǒng)被主持人清零,并且揚(yáng)聲器發(fā)生提示,同時(shí)其他人再按對(duì)應(yīng)按鈕無(wú)效;(4)搶答器要有自動(dòng)定時(shí)功能,并且一次搶答時(shí)間由主持人任意設(shè)定。當(dāng)主持人啟動(dòng)“開(kāi)始”鍵后,定時(shí)器自動(dòng)減計(jì)時(shí),并在顯示器上顯示。同時(shí)揚(yáng)聲器上發(fā)出短暫聲響;(5)參賽選手只有在設(shè)定時(shí)間內(nèi)搶答方為有效搶答。若搶答有效,則定時(shí)器停止工作,并且顯示搶答開(kāi)始時(shí)間直到系統(tǒng)被清零;(6)若設(shè)定時(shí)間內(nèi)無(wú)選手進(jìn)行搶答(按對(duì)應(yīng)按鈕),則系統(tǒng)短暫報(bào)警,并且禁止選手超時(shí)搶答,定時(shí)器上顯示00。數(shù)字搶答器由主體電路與擴(kuò)展電路組成。優(yōu)先編碼電路、鎖存器、譯碼電路將參賽隊(duì)的輸入信號(hào)在顯示器上輸出;用控制電路和主持人開(kāi)關(guān)啟動(dòng)報(bào)警電路,以上兩部分組成主體電路。通過(guò)定時(shí)電路和譯碼電路將秒脈沖產(chǎn)生的信號(hào)在顯示器上輸出實(shí)現(xiàn)計(jì)時(shí)功能,構(gòu)成擴(kuò)展電路。利用本次設(shè)計(jì)出的電路制造成的定時(shí)搶答器,即可輕松實(shí)現(xiàn)在8人或8個(gè)代表隊(duì)之間進(jìn)行的搶答比賽中進(jìn)行控制,使得這一活動(dòng)更加趣味、公平。 第二章 主要器件介紹(1) 74LS148 74LS148優(yōu)先編碼器(a) 符號(hào)圖 (b) 管腳圖74LS148的功能表:輸 入輸 出 1000000000 1 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 10 1 1 1 1 1 1 11 1 11 1 10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 10 11 01 01 01 01 01 01 01 0 (2)74LS48 74LS48符號(hào)圖和管腳圖(a)符號(hào)圖 (b)管腳圖顯示譯碼器74LS48的功能表: (3)74LS192及74LS0074LS192管腳圖74ls192功能表: 輸入 輸出MRP3P2P1P0Q3Q2Q1Q0 1 0000 0 0 dcbadcba 0 1 1 加計(jì)數(shù) 0 1 1 減計(jì)數(shù)74LS00管腳圖及其功能表: (4)74LS121及74LS27974LS121管腳圖及其功能表:74LS279管腳圖及其功能表:74LS279進(jìn)行反碼和鎖存作用(5)NE555及74LS11NE555管腳圖: 74LS11管腳圖及其真值表: Y=ABC Input Output A B C Y X X L L X L X L L X X L H H H H 第三章 設(shè)計(jì)方案(1)搶答功能設(shè)計(jì)設(shè)計(jì)電路如圖1所示。電路選用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來(lái)完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無(wú)效。工作過(guò)程:開(kāi)關(guān)S置于"清除"端時(shí),RS觸發(fā)器的 R、S端均為0,4個(gè)觸發(fā)器輸出置0,使74LS148的優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端)0,使之處于工作狀態(tài)。當(dāng)開(kāi)關(guān)S置于"開(kāi)始"時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),74LS148的輸出經(jīng)RS鎖存后,CTR=1,RBO(圖中4端) =1,七段顯示電路74LS48處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為"5"。此外,CTR,使74LS148 優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端),處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開(kāi)即按下時(shí),74LS148的 此時(shí)由于仍為CTR,使優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端),所以74LS148仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。如有再次搶答需由主持人將S開(kāi)關(guān)重新置"清除"然后再進(jìn)行下一次搶答。 圖1輸入端(按鍵)真值表如下圖2:(2)定時(shí)功能設(shè)計(jì)及其總方案定時(shí)原理及設(shè)計(jì)(圖3):該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS192減法計(jì)數(shù)電路、74LS48譯碼電路和2個(gè)7段數(shù)碼管即相關(guān)電路組成。具體電路如圖3所示。兩塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)譯碼電路74LS48顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74192的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù),由節(jié)目主持人根據(jù)搶答題的難易程度,設(shè)定一次搶答的時(shí)間,通過(guò)預(yù)置時(shí)間電路對(duì)計(jì)數(shù)器進(jìn)行預(yù)置,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開(kāi)始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管DPY_7-SEG 上,當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒(méi)有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí), 輸出低電平到時(shí)序控制電路,控制報(bào)警電路報(bào)警,同時(shí)以后選手搶答無(wú)效。下面結(jié)合圖4具體講一下標(biāo)準(zhǔn)秒脈沖產(chǎn)生電路的原理。結(jié)合圖3,圖中電容C的放電時(shí)間和充電時(shí)間分別為 于是從NE555的3端輸出的脈沖的頻率為 結(jié)合我們的實(shí)際經(jīng)驗(yàn)及考慮到元器件的成本,我們選擇的電阻值為R1=15K,R2=68K,C=10uF,代入到振蕩頻率fo143(RI2R2)C中即得 ,即秒脈沖。 總方案如下:總方案電路包括四部分:前面具體講了兩部分,下面是報(bào)警和時(shí)序控制電路圖。報(bào)警電路圖:由555定時(shí)器和三極管構(gòu)成的報(bào)警電路如圖1.5所示。其中555構(gòu)成多諧振蕩器,振蕩頻率fo143(RI2R2)C,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng)聲器。PR為控制信號(hào),當(dāng)PR為高電平時(shí),多諧振蕩器工作,反之,電路停振。時(shí)序控制電路圖:時(shí)序控制電路是搶答器設(shè)計(jì)的關(guān)鍵,它要完成以下三項(xiàng)功能:主持人將控制開(kāi)關(guān)撥到"開(kāi)始"位置時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路進(jìn)入正常搶答工作狀態(tài)。當(dāng)參賽選手按動(dòng)搶答鍵時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路停止工作。當(dāng)設(shè)定的搶答時(shí)間到,無(wú)人搶答時(shí),揚(yáng)聲器發(fā)聲,同時(shí)搶答電路和定時(shí)電路停止工作??偪驁D如下:1. 搶答器具有定時(shí)搶答功能,且一次搶答的時(shí)間由主持人設(shè)定(如30秒)。當(dāng)主持人啟動(dòng)"開(kāi)始"鍵后,定時(shí)器進(jìn)行減計(jì)時(shí)。2. 參賽選手在設(shè)定的時(shí)間內(nèi)進(jìn)行搶答,搶答有效,定時(shí)器停止工作,顯示器上顯示選手的編號(hào)和搶答的時(shí)間,并保持到主持人將系統(tǒng)清除為止。3.如果定時(shí)時(shí)間已到,無(wú)人搶答,本次搶答無(wú)效,系統(tǒng)報(bào)警并禁止搶答,定時(shí)顯示器上顯示00。對(duì)于總體方框圖則只要:(1)將圖1中74LS279的1,5,10,14引腳任意一腳與圖3中任一74LS192的11引腳相連(說(shuō)明:圖2中74LS279的13引腳輸出CTR信號(hào));(2)將圖1中輸入74LS148中5引腳信號(hào)撤掉換成 74LS279中13引腳輸出的CTR信號(hào)經(jīng)一非門(mén)與圖3中74LS192的13引腳輸出的信號(hào)經(jīng)一與非門(mén)輸出的信號(hào)。其工作原理為:接通電源后,主持人將開(kāi)關(guān)撥到"清零"狀態(tài),搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開(kāi)關(guān)置;開(kāi)始"狀態(tài),宣布"開(kāi)始"搶答器工作。定時(shí)器倒計(jì)時(shí),揚(yáng)聲器給出聲響提示。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示、揚(yáng)聲器提示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答、定時(shí)器顯示剩余時(shí)間。如果再次搶答必須由主持人再次操作"清除"和"開(kāi)始"狀態(tài)開(kāi)關(guān)。第四章 電路實(shí)現(xiàn)及電路調(diào)試3塊實(shí)驗(yàn)電路板分別做成數(shù)字搶答器電路、可預(yù)置時(shí)間的定時(shí)電路、報(bào)警電路及時(shí)序控制電路,根據(jù)EWB仿真電路及工程上的可操作性布置芯片、元件、導(dǎo)線等??梢酝ㄟ^(guò)很多電路仿真軟件進(jìn)行,通過(guò)對(duì)以上進(jìn)行了解,到仿真電路中去(例如:multisim),以上都可以很好的實(shí)現(xiàn)其功能 電路調(diào)試:(針對(duì)搶答功能) 第1點(diǎn)(圖1):依次按S0至S7鍵,每按完進(jìn)行清除,達(dá)到數(shù)碼管(顯示選手號(hào)碼)顯示的數(shù)字一一與按鍵對(duì)應(yīng),達(dá)到效果,反之,查找問(wèn)題所在:第2點(diǎn)(總體方案):模擬搶答過(guò)程,(1)預(yù)設(shè)時(shí)間倒記時(shí)開(kāi)始,依次按S0至S7鍵數(shù)碼管(顯示選手號(hào)碼)沒(méi)有數(shù)字顯示并且報(bào)警發(fā)光二極管亮起表示成功,有則找出問(wèn)題所在:(2)倒記時(shí)結(jié)束,搶答開(kāi)始,同時(shí)或者只按S0至S7任意鍵,發(fā)光二極管亮起,數(shù)碼管顯示號(hào)碼,在把所有鍵依次按一遍看顯示號(hào)碼會(huì)不會(huì)改變,不改變則完成調(diào)試,反之則找出問(wèn)題。第五章 結(jié)論搶答器豐富了我們的生活,社會(huì)中本來(lái)就充滿著競(jìng)爭(zhēng)。只是沒(méi)有很明顯的表現(xiàn)出來(lái),通過(guò)運(yùn)用它我們可以很好的證明自己的膽識(shí)和自信,所以做起搶答器來(lái),也很想去了解它,對(duì)于八路搶答器原理也只是幾個(gè)芯片的合成體,也沒(méi)什么復(fù)雜性,所以很好的做出來(lái),但實(shí)踐中就有些小小的麻煩,就是電壓在實(shí)踐中很難把握。總之,這次實(shí)踐是非常成功的,我們追求的是理論與實(shí)踐并存,課程設(shè)計(jì)就很好的為大學(xué)生表現(xiàn)自己綜合能力提供有力的平臺(tái)。實(shí)踐中有很多電路原理弄不清楚,這說(shuō)明學(xué)的不太好,從中也反映了我們?cè)谀切┲R(shí)的不足,我們也應(yīng)通過(guò)老師和自己查資料彌補(bǔ),這是理想的學(xué)習(xí)過(guò)程。 第六章 參考文獻(xiàn)1 康華光,電子技術(shù)基礎(chǔ).數(shù)字部分(第四版),北京:高等教育出版社,20032 李廣弟,單片機(jī)基礎(chǔ)M,北京:北航出版社,20013 梁超,一款基于單片機(jī)技術(shù)的電子搶答器J ,機(jī)電工程技術(shù),2005,34 (1)4 李朝青,單片機(jī)原理及接口技術(shù)M,北京:北京航空航天大學(xué)出版社,19995 齊向東,多功能數(shù)字顯示搶答器J . 黃石高等專(zhuān)科學(xué)院學(xué)報(bào),1996附錄1:數(shù)碼管引腳圖圖1 數(shù)碼管引腳圖8421BCD碼對(duì)應(yīng)顯示圖 - 19 -

注意事項(xiàng)

本文(八路搶答器設(shè)計(jì)論文)為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!