九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

搶答器課程設(shè)計(jì) 智力搶答應(yīng)用系統(tǒng)設(shè)計(jì)

  • 資源ID:33876596       資源大小:157.51KB        全文頁數(shù):23頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

搶答器課程設(shè)計(jì) 智力搶答應(yīng)用系統(tǒng)設(shè)計(jì)

湖南科技大學(xué)本科生課程設(shè)計(jì)(論文) 湖 南 科 技 大 學(xué)單 片 機(jī) 課 程 設(shè) 計(jì) 題 目 智力搶答應(yīng)用系統(tǒng)設(shè)計(jì) 姓 名 李振文 學(xué) 院 機(jī)電工程學(xué)院 專 業(yè) 測控技術(shù)與儀器 學(xué) 號(hào) 0903030211 指導(dǎo)教師 # 成 績 _2012年6月- 19 -摘 要隨著科學(xué)技術(shù)的不斷發(fā)展,促使人們學(xué)科學(xué)、學(xué)技術(shù)、學(xué)知識(shí)的手段多種多樣。搶答器作為一種工具,已廣泛應(yīng)用于各種智力和知識(shí)競賽場合。但搶答器的使用頻率較低,且有的要么制作復(fù)雜,要么可靠性低,減少興致。做為一個(gè)單位若專購一臺(tái)搶答器雖然在經(jīng)濟(jì)上可以承受,但每年使用的次數(shù)極少,往往因長期存放使(電子器件的)搶答器損壞,再購置的麻煩和及時(shí)性就會(huì)影響活動(dòng)的開展,因此設(shè)計(jì)了本搶答器。本設(shè)計(jì)是以四路搶答為基本理念??紤]到依需設(shè)定限時(shí)回答的功能,利用AT89C51單片機(jī)及外圍接口實(shí)現(xiàn)的搶答系統(tǒng),利用單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和記數(shù)的原理,將軟、硬件有機(jī)地結(jié)合起來,使得系統(tǒng)能夠正確地進(jìn)行計(jì)時(shí),同時(shí)使數(shù)碼管能夠正確地顯示時(shí)間。用開關(guān)做鍵盤輸出,揚(yáng)聲器發(fā)生提示。同時(shí)系統(tǒng)能夠?qū)崿F(xiàn):在搶答中,只有開始后搶答才有效,如果在開始搶答前搶答為無效;可以顯示是哪位選手有效搶答和無效搶答,正確按鍵后有音樂提示;按鍵鎖定,在有效狀態(tài)下,按鍵無效非法。ABSTRACTWith the continuous development of science and technology, promote learning science, science and technology, knowledge of the variety of means. With a tool for Qiangda, which has been widely used in all kinds of intelligence and knowledge contests occasions. But Qiangda is used for lower frequency, and some production complex or low reliability, and reduced interest. As a unit, if the purchase is a Qiangda .Although the economy can bear, each year the number is extremely small, because of the long-term storage (electronic devices) Qiangda for damage to the purchase of the trouble and timeliness of activities, The development will be affected, so this Qiangda is designed .This design is six road Qiangda as the basic concept.By taking into account the need for seting time and limiting to answer the function, which uses AT89C51 microcontroller and external interface of Qiangda system, and uses SCM timer / counter timing and the number of mind Principle, the software and hardware organically combined, which could allow the right to conduct time, while the digital display to the correct time. Switching with the keyboard output, speakers in tips. At the same time, system can be realized .In Qiang da and which only begins after the Qiangda only effective, if at the beginning of Qiangda before Qiangda invalid; Qiangda limited time to answer questions and the time can be set in the 1-99 s; players who can show that it is effective and Qiangda Qiang da invalid, right after the music keys tips; Qiangda time to time and answer questions Dao Ji shi, over time, the system automatically reset control and mandatory reduction; keys locked in an active state, the key is invalid illegal. Key words: AT89C51; alphanumeric display; Qiangda; time; alarm目錄摘要i目錄ii第一章 緒論.11.1 課題研究的相關(guān)背景11.2 選題的目的和意義.11.3 課題研究的內(nèi)容.11.4 國內(nèi)外研究現(xiàn)狀.21.5 搶答器目前存在的主要問題.2第二章 硬件設(shè)計(jì)32.1搶答器的工作原理32.2單片機(jī)的選擇32.3按鍵設(shè)計(jì)32.4時(shí)鐘電路設(shè)計(jì)42.5復(fù)位電路設(shè)計(jì)52.6系統(tǒng)復(fù)位52.7總體電路設(shè)計(jì)6第三章 軟件設(shè)計(jì).73.1主程序系統(tǒng)結(jié)構(gòu)圖.73.2軟件任務(wù)分析.73.3程序流程圖.8第四章 總結(jié)與展望94.1總結(jié).94.2 展望.9參考文獻(xiàn)11附錄12第一章 緒論1.1 課題研究的相關(guān)背景搶答器是一種應(yīng)用非常廣泛的設(shè)備,在各種競賽、搶答場合中,它能迅速、客觀地分辨出最先獲得發(fā)言權(quán)的選手。早期的搶答器只由幾個(gè)三極管、可控硅、發(fā)光管等組成,能通過發(fā)光管的指示辯認(rèn)出選手號(hào)碼?,F(xiàn)在大多數(shù)搶答器均使用單片機(jī)(如MCS-51型)和數(shù)字集成電路,并增加了許多新功能,如選手號(hào)碼顯示、搶按前或搶按后的計(jì)時(shí)、選手得分顯示等功能。本課題利用AT89C51單片機(jī)及外圍接口實(shí)現(xiàn)的搶答系統(tǒng),利用單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和記數(shù)的原理,將軟、硬件有機(jī)地結(jié)合起來,使得系統(tǒng)能夠正確地進(jìn)行計(jì)時(shí),同時(shí)使數(shù)碼管能夠正確地顯示時(shí)間和選手號(hào)碼。用開關(guān)做鍵盤輸出,揚(yáng)聲器發(fā)生提示。系統(tǒng)達(dá)到要求:在搶答中,只有開始后搶答才有效,如果在開始搶答前搶答為無效;搶答限定時(shí)間和回答問題的時(shí)間可是在1-99s設(shè)定;可以顯示是哪位選手有效搶答和無效搶答,正確按鍵后有音樂提示;搶答時(shí)間和回答問題時(shí)間倒記時(shí)顯示,時(shí)間完后系統(tǒng)自動(dòng)復(fù)位;按鍵鎖定,在有效狀態(tài)下,按鍵無效非法。1.2 選題的目的和意義通過這次課程設(shè)計(jì),掌握51單片機(jī)的原理,了解簡單多功能搶答器組成原理,初步掌握多功能搶答器的調(diào)整及測試方法,提高動(dòng)手能力和排除故障的能力。同時(shí)通過本課題設(shè)計(jì)與裝配、調(diào)試,提高自己的動(dòng)手能力,鞏固已學(xué)的理論知識(shí),建立單片機(jī)理論和實(shí)踐的結(jié)合,了解多功能搶答器各單元電路之間的關(guān)系及相互影響,從而能正確設(shè)計(jì)、計(jì)算定時(shí)計(jì)數(shù)的各個(gè)單元電路。初步掌握多功能搶答器的調(diào)整及測試方法。提高動(dòng)手能力和排除故障的能力。1.3 課題研究的內(nèi)容本系統(tǒng)采用模塊化設(shè)計(jì)智能搶答器,在搶答比賽中廣泛應(yīng)用,各組分別有一個(gè)搶答按鈕。主持人有開始和結(jié)束、復(fù)位鍵。主持人按開始后,選手開始搶答為有效,選手指示燈亮。如果主持人沒有按下開始鍵而選手就搶答視為犯規(guī),揚(yáng)聲器持續(xù)發(fā)聲。主持人可按鍵結(jié)束,新一輪搶答開始。通過研究并在設(shè)計(jì)驗(yàn)證后發(fā)現(xiàn),采用單片機(jī)技術(shù)設(shè)計(jì)的搶答器與目前常用的搶答器相比,首先,電路連接簡單,因?yàn)榇蠖鄶?shù)功能單元都通過程序設(shè)計(jì)在單片機(jī)內(nèi)部,第二,工作性能可靠,抗千擾能力優(yōu)于目前搶答器。所以本研究是一個(gè)實(shí)用的工程設(shè)計(jì),具有創(chuàng)新性。后其號(hào)碼只有數(shù)碼或指示燈顯示,沒有語音提示。本課題就是為了解決以上3個(gè)問題,設(shè)計(jì)了六路搶答器。1.4 國內(nèi)外研究現(xiàn)狀搶答器作為一種電子產(chǎn)品,早已廣泛應(yīng)用于各種智力和知識(shí)競賽場合,但目前所使用的搶答器有的電路較復(fù)雜不便于制作,可靠性低,實(shí)現(xiàn)起來很困難;有的則用一些專用的集成塊 ,而專用集成塊的購買又很困難。為適應(yīng)高校等多代表隊(duì)單位活動(dòng)的需要而設(shè)計(jì)一個(gè)多功能搶答器,這種搶答器具有電路簡單,元件普通 ,易于購買等優(yōu)點(diǎn),很好地解決了制作者制作困難和難于購買的問題。在國內(nèi)外已經(jīng)開始了普遍的應(yīng)用。1.5 搶答器目前存在的主要問題隨著改革開放事業(yè)的不斷深入,促使人們學(xué)科學(xué)、學(xué)技術(shù)、學(xué)知識(shí)的手段多種多樣,搶答器作為一種工具,已廣泛應(yīng)用于各種智力和知識(shí)競賽場合。但搶答器的使用頻率校低,且有的要么制作復(fù)雜,要么可靠性低,減少興致。作為一個(gè)單位若專購一臺(tái)搶答器雖然在經(jīng)濟(jì)上可以承受,但每年使用的次數(shù)極少,往往因長期存放使(電子器件的)搶答器損壞,再購置的麻煩和及時(shí)性就會(huì)影響活動(dòng)的開展。但目前多數(shù)搶答器存在3個(gè)不足之處:第一,現(xiàn)場線路連接復(fù)雜。因?yàn)槊總€(gè)選手位于搶答現(xiàn)場的不同位置,每個(gè)選手與控制臺(tái)之間要有長長的連接線。選手越多,連接線就越多、越亂,這些連接線不僅影響了現(xiàn)場的美觀,而且降低了搶答器的可靠性,增加了安裝的難度,甚至影響了現(xiàn)場人員的走動(dòng)。第二,電路復(fù)雜。因?yàn)閱纹瑱C(jī)只完成號(hào)碼處理、計(jì)時(shí)、數(shù)據(jù)運(yùn)算等功能,其它功能如選手號(hào)碼的識(shí)別、譯碼、計(jì)分顯示等仍只能通過數(shù)字集成電路完成。采用單片機(jī)掃描技術(shù)識(shí)別選手搶按號(hào)碼時(shí),電路的延遲時(shí)間較大。第三,選手搶按成功。第二章 硬件設(shè)計(jì)2.1搶答器的工作原理搶答器是為競賽者答題時(shí)進(jìn)行搶答而設(shè)計(jì)的一種優(yōu)先判決器電路,廣泛應(yīng)用于各種知識(shí)競賽、文娛活動(dòng)等場合。在搶答競賽或呼叫時(shí),有多個(gè)信號(hào)同時(shí)或者不同時(shí)送入主電路中,搶答器內(nèi)部的寄存器工作,并識(shí)別、記錄第一個(gè)號(hào)碼,同時(shí)內(nèi)部定時(shí)器開始工作,記錄有關(guān)時(shí)間并產(chǎn)生超時(shí)信號(hào),在整個(gè)搶答器工作過程中,顯示電路、聲音電路等還要根據(jù)現(xiàn)場實(shí)際情況向外電路輸出相應(yīng)信號(hào)。2.2單片機(jī)的選擇根據(jù)初步設(shè)計(jì)方案的分析,設(shè)計(jì)這樣一個(gè)簡單的應(yīng)用系統(tǒng),以AT89C51單片機(jī)為控制中心,采用模塊化的設(shè)計(jì)方案.AT89C51單片機(jī)是采用高性能的靜態(tài)80C51設(shè)計(jì)由先進(jìn)工藝制造并帶有非易失性程序存儲(chǔ)器,全部支持時(shí)鐘和時(shí)鐘操作。和分別包含字節(jié)和字節(jié)條口線個(gè)位定時(shí)計(jì)數(shù)器輸入優(yōu)先級(jí)嵌套中斷結(jié)構(gòu)個(gè)串行口可用于多機(jī)通信擴(kuò)展或全雙工以及片內(nèi)振蕩器和時(shí)鐘電路。此外,由于器件采用了靜態(tài)設(shè)計(jì)可提供很寬的操作頻率范圍頻率可降至可實(shí)現(xiàn)由軟件選擇的節(jié)電模式、空閑模式和掉電模式。空閑模式凍結(jié)但定時(shí)器串口中斷系統(tǒng)任然工作,由于設(shè)計(jì)是靜態(tài)時(shí)鐘可停止而不會(huì)丟失用戶數(shù)據(jù)運(yùn)行可以從時(shí)鐘停止處恢復(fù)。2.3按鍵設(shè)計(jì)4個(gè)搶答按鍵分別接入單片機(jī)的端口,單片機(jī)通過讀取17的值來判斷當(dāng)前輸入的是個(gè)搶答按鍵中的哪一個(gè)。(圖1.1 LED燈電路)按鍵的觸點(diǎn)在閉合和斷開時(shí)均會(huì)產(chǎn)生抖動(dòng),這時(shí)觸點(diǎn)的邏輯電平是不穩(wěn)定的,如不妥善處理,將會(huì)引起按鍵命令的錯(cuò)誤執(zhí)行或重復(fù)執(zhí)行。現(xiàn)在一般均用軟件延時(shí)的方法,來避開抖動(dòng)階段,這一延時(shí)過程一般大于,例如取。如果監(jiān)控程序中的讀鍵操作安排在主程序(后合程序)或鍵盤中斷(外部中斷)子程序中,則該延時(shí)子程序便可直接插入讀鍵過程中。(圖2.1 按鍵電路)2.4時(shí)鐘電路設(shè)計(jì)單片機(jī)必須在時(shí)鐘驅(qū)動(dòng)下才能正常工作,在單片機(jī)內(nèi)部有一個(gè)時(shí)鐘振蕩電路,只需要外部接一個(gè)振蕩源就能產(chǎn)生一定時(shí)鐘信號(hào)送到單片機(jī)的各個(gè)單元,決定單片機(jī)的工作速度。電路如下圖:(圖2.3 晶振電路)一般選用石英晶體振蕩器。此電路在加電大約延遲后震蕩器起振,在引腳產(chǎn)生幅度為左右的正弦波時(shí)鐘信號(hào),其震蕩頻率主要由石英晶振的頻率確定。電路中兩個(gè)電容,的作用有兩個(gè):(1)幫助震蕩器起振;(2)是對(duì)震蕩器的頻率進(jìn)行微調(diào)。,的典型值為。單片機(jī)在工作時(shí),由內(nèi)部振蕩器產(chǎn)生或由外部直接輸入的送至內(nèi)部控制邏輯單元的時(shí)鐘信號(hào)的周期稱為時(shí)鐘周期。其大小是時(shí)鐘信號(hào)頻率的倒數(shù),常用表示。圖中時(shí)鐘頻率為,即,則時(shí)鐘周期為。2.5復(fù)位電路設(shè)計(jì)單片機(jī)第九引腳為復(fù)位端,只要在復(fù)位端保持兩周期的高電平,就可實(shí)現(xiàn)復(fù)位。電路如下圖:(圖2.4 復(fù)位電路)圖中由按鍵以及電容,電阻構(gòu)成復(fù)位電路。由于單片機(jī)是高電平復(fù)位,所以當(dāng)按下后,丹皮即進(jìn)入復(fù)位狀態(tài)。當(dāng)上電后,由于電容的緩慢充電,單片機(jī)腳電壓逐步由高轉(zhuǎn)向低,經(jīng)過一段時(shí)間,單片機(jī)的腳穩(wěn)定在低電平狀態(tài),此時(shí)單片機(jī)復(fù)位完畢,單片機(jī)各狀態(tài)恢復(fù)到初始狀態(tài)。當(dāng)上電后,由于電容的緩慢充電,單片機(jī)的腳電壓逐步由高向低轉(zhuǎn)化,經(jīng)過一段時(shí)間后,單片機(jī)的腳處于穩(wěn)定的低電平狀態(tài),此時(shí)單片機(jī)上電復(fù)位完畢,系統(tǒng)程序從開始執(zhí)行。值得注意的是,在設(shè)計(jì)當(dāng)中是用到了硬件復(fù)位和軟件復(fù)位兩種功能,由上而得硬件復(fù)位后的各種狀態(tài)可知寄存器及存儲(chǔ)器的值都恢復(fù)到了初始值,而前面的功能介紹中提到了倒計(jì)時(shí)時(shí)間記憶功能,該功能的實(shí)現(xiàn)的前提條件就是不能對(duì)單片機(jī)進(jìn)行硬件復(fù)位,所以設(shè)定了軟復(fù)位功能。軟復(fù)位實(shí)際上就是當(dāng)程序執(zhí)行完畢之后,將程序指針通過一條跳轉(zhuǎn)指令讓它跳轉(zhuǎn)到程序執(zhí)行的起始地址。2.6系統(tǒng)復(fù)位使進(jìn)入初始狀態(tài),從地址開始執(zhí)行程序的過程叫系統(tǒng)復(fù)位。從實(shí)現(xiàn)系統(tǒng)復(fù)位的方法來看,系統(tǒng)復(fù)位可分為硬件復(fù)位和軟件復(fù)位。硬件復(fù)位必須通過外部的硬件電路給的端加上足夠時(shí)間的高電位才能實(shí)現(xiàn)。上點(diǎn)復(fù)位,人工按鍵復(fù)位和硬件看門狗復(fù)位均為硬件復(fù)位。硬件復(fù)位后,個(gè)專用寄存器的狀態(tài)均被初始化,且對(duì)片內(nèi)通用寄存器的內(nèi)容沒有影響。但是,硬件復(fù)位還能自動(dòng)清除中斷激活標(biāo)志,使中斷系統(tǒng)能夠正常工作,這樣一個(gè)事實(shí)卻容易為不少編碼人員所忽視。軟件復(fù)位就是用一系列指令來模擬硬件復(fù)位功能,最后通過轉(zhuǎn)移指令使程序從0000H地址開始執(zhí)行。對(duì)各專用寄存器的復(fù)位操作是容易的,也沒有必要完全模擬。可根據(jù)實(shí)際需要去主程序初始化過程中完成。2.7總體電路設(shè)計(jì)(圖2.5 總體電路)P1.0為開始搶答,P1.6為停止,P1.2-P1.5為四路搶答輸入,LED段選P3口,蜂鳴器輸出為P2.0口。第三章 軟件設(shè)計(jì)3.1 主程序系統(tǒng)結(jié)構(gòu)圖系統(tǒng)初始化模塊按鍵模塊非法搶答模塊正確搶答模塊調(diào)整搶答時(shí)間.LED顯示塊(圖3.1軟件系統(tǒng)結(jié)構(gòu)圖)3.2軟件任務(wù)分析軟件任務(wù)分析和硬件電路設(shè)計(jì)結(jié)合進(jìn)行,哪些功能由硬件完成,哪些任務(wù)由軟件完成,在硬件電路設(shè)計(jì)基本定型后,也就基本上決定下來了。軟件任務(wù)分析環(huán)節(jié)是為軟件設(shè)計(jì)做一個(gè)總體規(guī)劃。從軟件的功能來看可分為兩大類:一類是執(zhí)行軟件,它能完成各種實(shí)質(zhì)性的功能,如測量,計(jì)算,顯示,打印8,輸出控制和通信等,另一類是監(jiān)控軟件,它是專門用來協(xié)調(diào)各執(zhí)行模塊和操作者的關(guān)系,在系統(tǒng)軟件中充當(dāng)組織調(diào)度角色的軟件。這兩類軟件的設(shè)計(jì)方法各有特色,執(zhí)行軟件的設(shè)計(jì)偏重算法效率,與硬件關(guān)系密切,千變?nèi)f化。軟件任務(wù)分析時(shí),應(yīng)將各執(zhí)行模塊一一列出,并為每一個(gè)執(zhí)行模塊進(jìn)行功能定義和接口定義(輸入輸出定義)。在各執(zhí)行模塊進(jìn)行定義時(shí),將要牽扯到的數(shù)據(jù)結(jié)構(gòu)和數(shù)據(jù)類型問題也一并規(guī)劃好。各執(zhí)行模塊規(guī)劃好后,就可以監(jiān)控程序了。首先根據(jù)系統(tǒng)功能和鍵盤設(shè)置選擇一種最適合的監(jiān)控程序結(jié)構(gòu)。相對(duì)來講,執(zhí)行模塊任務(wù)明確單純,比較容易編程,而監(jiān)控程序較易出問題。這如同當(dāng)一名操作工人比較容易,而當(dāng)一個(gè)廠長就比較難了。軟件任務(wù)分析的另一個(gè)內(nèi)容是如何安排監(jiān)控軟件和各執(zhí)行模塊。整個(gè)系統(tǒng)軟件可分為后臺(tái)程序(背景程序)和前臺(tái)程序。后臺(tái)程序指主程序及其調(diào)用的子程序,這類程序?qū)?shí)時(shí)性要求不是太高,延誤幾十ms甚至幾百ms也沒關(guān)系,故通常將監(jiān)控程序(鍵盤解釋程序),顯示程序和打印程序等與操作者打交道的程序放在后臺(tái)程序中執(zhí)行;而前臺(tái)程序安排一些實(shí)時(shí)性要求較高的內(nèi)容,如定時(shí)系統(tǒng)和外部中斷(如掉電中斷)。也可以將全部程序均安排在前臺(tái),后臺(tái)程序?yàn)椤笆瓜到y(tǒng)進(jìn)入睡眠狀態(tài)”,以利于系統(tǒng)節(jié)電和抗干擾。3.3 程序流程圖在本設(shè)計(jì)中包括了以下八個(gè)主要的程序:主程序;非法搶答序;搶答時(shí)間調(diào)整程序;回答時(shí)間調(diào)整程序;倒計(jì)時(shí)程序;正常搶答處理程序;犯規(guī)處理程序;顯示及發(fā)聲程序。LED 開始鍵按下?按下?lián)尨疰I?復(fù)位鍵按下?系統(tǒng)復(fù)位 顯示犯規(guī)去抖動(dòng)非法搶答處理顯示犯規(guī)正常搶答 顯示搶答Y YY 初始化(圖3.2 程序設(shè)計(jì)流程圖)第四章 總結(jié)與展望4.1 總結(jié)本文研究與設(shè)計(jì)的六路多功能搶答器采用了通用的電子元器件,利用AT89C51單片機(jī)及外圍接口實(shí)現(xiàn)搶答系統(tǒng),利用單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和記數(shù)的原理,將軟、硬件有機(jī)地結(jié)合起來,使得系統(tǒng)能夠正確地進(jìn)行計(jì)時(shí),同時(shí)使數(shù)碼管能夠正確地顯示時(shí)間。計(jì)分接收的單片機(jī)部分利用匯編語言編寫。設(shè)計(jì)時(shí),首先通過在線編程,然后具體安裝,仿真,完全實(shí)現(xiàn)了設(shè)計(jì)功能。本設(shè)計(jì)中,利用常規(guī)的單片機(jī)掃描識(shí)別的方法。通過硬件電路的具體應(yīng)用和系統(tǒng)的仿真研究得出,本設(shè)計(jì)不僅安裝簡單、成本低,更重要的是工作性能穩(wěn)定,是一個(gè)實(shí)用、創(chuàng)新型的工程設(shè)計(jì)。由于采用匯編語言設(shè)計(jì)電路,所以本設(shè)計(jì)在編程成功后,硬件電路基本上不需要調(diào)試就可正常使用。即使在設(shè)計(jì)完畢交給用戶使用后,對(duì)系統(tǒng)的功能改進(jìn)或升級(jí)也只是通過改寫程序來完成,極大地方便了用戶或設(shè)計(jì)者對(duì)電路更新。4.2 展望回頭再看看該設(shè)計(jì),還可以將設(shè)計(jì)的擴(kuò)展功能增強(qiáng):1、可以設(shè)計(jì)聲控裝置,在主持人說開始時(shí),系統(tǒng)自動(dòng)完成清零并開始計(jì)時(shí)的功能。2、在主持人讀題的過程中,禁止搶答,可以在主持人控制的開關(guān)上另接一個(gè)與圖2一樣的電路,即可實(shí)現(xiàn)“違規(guī)者可見”的功能,即在主持人讀題時(shí)如果有人違反比賽規(guī)定搶先按動(dòng)按鈕,顯示器可以顯示是哪個(gè)參賽隊(duì)搶先,便于作出相應(yīng)的處理。如果提供相應(yīng)的器材及時(shí)間上的寬限,一定可以完成上述擴(kuò)展功能,進(jìn)一步完善作品。經(jīng)過這半個(gè)學(xué)期的努力,在老師和同學(xué)的幫助下終于徹底的做完了畢業(yè)設(shè)計(jì)的所有工作。通過這次的畢業(yè)設(shè)計(jì),我能運(yùn)用已學(xué)的知識(shí)解決我在設(shè)計(jì)中遇到的問題,使自己的動(dòng)手能力和思考問題的能力得到了很大的提高。在做設(shè)計(jì)的過程中我查閱了很多的資料,并認(rèn)真的閱讀這些與我的設(shè)計(jì)相關(guān)的資料,從而我的專業(yè)涵養(yǎng)得到了提高,知識(shí)的儲(chǔ)備量也有所增加。在做設(shè)計(jì)時(shí),我復(fù)習(xí)了很多專業(yè)課的知識(shí),這使得我的專業(yè)知識(shí)在離校之前得到了鞏固。由于我的畢業(yè)設(shè)計(jì)需要繪圖,我在同學(xué)的幫助下學(xué)習(xí)使用DXP繪圖工具,并且現(xiàn)在能熟練的運(yùn)用其進(jìn)行繪圖,我認(rèn)為這是我在整個(gè)設(shè)計(jì)工程中得到的最好的回報(bào)。整個(gè)設(shè)計(jì)通過了軟件和硬件上的調(diào)試、仿真。我想這對(duì)于自己以后的學(xué)習(xí)和工作都會(huì)有很大的幫助的。在這次設(shè)計(jì)中遇到了很多實(shí)際性的問題,在實(shí)際設(shè)計(jì)中才發(fā)現(xiàn),書本上理論性的東西與在實(shí)際運(yùn)用中的還是有一定的出入的,所以有些問題不但要深入地理解,而且要不斷地更正以前的錯(cuò)誤思維。對(duì)于單片機(jī)設(shè)計(jì),其硬件電路是比較簡單的,主要是解決程序設(shè)計(jì)中的問題。而程序設(shè)計(jì)是一個(gè)很靈活的東西,它反映了你解決問題的邏輯思維和創(chuàng)新能力。它才是一個(gè)設(shè)計(jì)的靈魂所在。因此在整個(gè)設(shè)計(jì)過程中大部分時(shí)間是用在程序上面的。很多子程序是可以借鑒書本上的,但怎樣銜接各個(gè)子程序才是關(guān)鍵的問題所在,這需要對(duì)單片機(jī)的結(jié)構(gòu)很熟悉。因此可以說單片機(jī)的設(shè)計(jì)是軟件和硬件的結(jié)合,二者是密不可分的。但是,通過這次設(shè)計(jì)我也發(fā)現(xiàn)自己的很多不足之處。在設(shè)計(jì)過程中我發(fā)現(xiàn)自己考慮問題很不全面,自己的專業(yè)知識(shí)掌握的很不牢固,所掌握的計(jì)算機(jī)應(yīng)用軟件還不夠多,我希望自己的這些不足之處能在今后的工作和學(xué)習(xí)中得到改善。而且,通過這次設(shè)計(jì),我懂得了學(xué)習(xí)的重要性,學(xué)會(huì)了堅(jiān)持和努力,這將為以后的學(xué)習(xí)做出了最好的榜樣!同時(shí),該設(shè)計(jì)也有不足之處,缺少了答題計(jì)分等功能。我將會(huì)在以后的學(xué)習(xí)中繼續(xù)學(xué)習(xí)。參考文獻(xiàn):(1) 李朝青 單片機(jī)原理及接口技術(shù) 第三版 2008;(2) 朱宇光 單片機(jī)應(yīng)用新技術(shù) 電子工業(yè)出版社;(3) 余發(fā)山 單片機(jī)原理及應(yīng)用技術(shù) 中國礦業(yè)大學(xué)出版社 2003;(4) 鄭有正 單片機(jī)原理及應(yīng)用 四川大學(xué)出版社;(5) 楊剛、龍海燕等 現(xiàn)代電子技術(shù) 電子工業(yè)出版社 2004;(6) 冀振燕 LJML系統(tǒng)分析設(shè)計(jì)與應(yīng)用案例 人民郵電出版社 2003;(7) 陳越等 ISD全系列單片語音錄放電路設(shè)計(jì)與應(yīng)用 電子工業(yè);(8) 楊曉輝、張彤、姜俊海、智能搶答器的設(shè)計(jì)與制作 2000年06期;(9) 沈慶陽、郭庭吉 8051單片機(jī)實(shí)踐與應(yīng)用 清華大學(xué)出版社;(10) 楊凌霄 微型計(jì)算機(jī)原理及應(yīng)用 中國礦業(yè)大學(xué)出版社 2004;(11) 張國雄 李醒飛 測控電路 第四版;附錄:程序列表:#include <reg52.h>#define uchar unsigned char#define uint unsigned intsbit LS138A=P22; sbit LS138B=P23;sbit LS138C=P24;sbit ledbell=P20; sbit led0=P31;sbit led1=P32; sbit led2=P33;sbit led3=P34;sbit led4=P35;sbit led5=P36;sbit led6=P37;bit kaishi,jieshu,success;uintt;uchar a0,a1,a2,t1;uchar code table=0xC0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e,0xff,0x0c,0xbf; void delay(uint count) ;uchar keychuli();uchar key();void display(uchar,uchar,uchar,uchar,uchar,uchar,uchar,uchar);void ini();void qiangda();void weigui();void main()uchar t4;ini();while(1)display(16, 16, a2, a1, 16, 16, 16, a0);if(jieshu=0) switch(key()case 0:break; case 2:kaishi=1; led0=0;TR0=1; t=420; ledbell=0;break; case 4:led0=1;a0=1;if(kaishi=1)led3=0;ledbell=0;qiangda();led3=1;elseweigui();break; case 5:led0=1;a0=2;if(kaishi=1)led4=0;ledbell=0;qiangda();led4=1;elseweigui();break; case 6:led0=1;a0=3; if(kaishi=1)led5=0;ledbell=0;qiangda();led5=1;elseweigui();break; case 7:led0=1;a0=4; if(kaishi=1) led6=0;ledbell=0;qiangda(); led6=1;elseweigui();break;else P3=0xff;led1=0;ledbell=0;while(!(key()=8)delay(10);t4+;if(t4=40)t4=0;ledbell=ledbell;ini();void ini()P3=0xff;TMOD=0x01,TH0=0x3c,TL0=0xb0;EA=1,ET0=1,TR0=0;kaishi=0,jieshu=0;success=0;a0=17,a1=16,a2=16;void qiangda()uchar t3;TR0=1;t=419;while(!jieshu)display(16, 16, a2, a1, 16, 16, 16, a0);if(key()=3) success=1;jieshu=1;P3=0xff;led1=0;ledbell=0;if(success!=1)P3=0xff;Led2=0;ledbell=0;while(!(key()=8)delay(20);t3+;if(t3=50)t3=0;ledbell=ledbell;ini();void weigui()led2=0;ledbell=0;display(16, 16, 16, 16, 16, 16, 16, a0);while(!(key()=8)display(16, 16, 16, 16, 16, 16, 16, a0);display(16, 16, 16, 16, 16, 16, 16, a0);ledbell=0;display(16, 16, 16, 16, 16, 16, 16, a0);display(16, 16, 16, 16, 16, 16, 16, a0);ledbell=1;ini();uchar keychuli() uchar k; P1=0xff; k=P1; k=k; k=(k&0xff); return(k); uchar key() uchar keyzhi,keyzhii; keyzhi=keychuli(); if(keyzhi!=0) display(16, 16, a2, a1, 16, 16, 16, a0); display(16, 16, a2, a1, 16, 16, 16, a0); keyzhi=keychuli(); if(keyzhi!=0) keyzhii=keyzhi; while(keyzhi!=0) display(16, 16, a2, a1, 16, 16, 16, a0); display(16, 16, a2, a1, 16, 16, 16, a0); keyzhi=keychuli(); keyzhi=keyzhii; switch(keyzhi) case 0:return 0;break;case 0x01:return 2;break;case 0x02:return 3;break;case 0x04:return 4;break;case 0x08:return 5;break;case 0x10:return 6;break;case 0x20:return 7;break;case 0x40:return 8;break;case 0x80:return 9;break;void timer0() interrupt 1 using 3TH0=0x3c,TL0=0xb0;t-;if(t=417)ledbell=1;if(t=19) /中斷400次為20s TR0=0;led1=0;led0=1;jieshu=1;t1=t/20;a2=t1/10;a1=t1%10;void delay(uint count) uint j; while(count-!=0) for(j=0;j<82;j+); void display(uchar a7,uchar a6,uchar a5,uchar a4,uchar a3,uchar a2,uchar a1,uchar a0)P0=tablea0;LS138A=1; LS138B=1; LS138C=1;delay(1);P0=tablea1;LS138A=0; LS138B=1; LS138C=1;delay(1); P0=tablea2;LS138A=1; LS138B=0; LS138C=1;delay(1); P0=tablea3;LS138A=0; LS138B=0; LS138C=1;delay(1);P0=tablea4;LS138A=1; LS138B=1; LS138C=0;delay(1);P0=tablea5;LS138A=0; LS138B=1; LS138C=0;delay(1);P0=tablea6;LS138A=1; LS138B=0; LS138C=0;delay(1);P0=tablea7;LS138A=0; LS138B=0; LS138C=0;delay(1);

注意事項(xiàng)

本文(搶答器課程設(shè)計(jì) 智力搶答應(yīng)用系統(tǒng)設(shè)計(jì))為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!