九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

八路智力搶答器

  • 資源ID:35323909       資源大?。?span id="24d9guoke414" class="font-tahoma">3.06MB        全文頁(yè)數(shù):20頁(yè)
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

八路智力搶答器

南華大學(xué)電氣工程學(xué)院電子設(shè)計(jì)課程University of South China 電子技術(shù) 課程設(shè)計(jì)說(shuō)明書(shū) 設(shè)計(jì)題目: 八路智力競(jìng)賽搶答器 專 業(yè): 電氣工程及其自動(dòng)化 年 級(jí): 08級(jí) 學(xué) 號(hào): - 姓 名: - 指導(dǎo)教師: - 2011年 01 月 13 日電子技術(shù)課程設(shè)計(jì)任務(wù)書(shū)設(shè)計(jì)題目: 八路智力競(jìng)賽搶答器 專 業(yè): 電氣工程及其自動(dòng)化 電子技術(shù)課程設(shè)計(jì)任務(wù)書(shū)1課程設(shè)計(jì)的內(nèi)容和要求(包括原始數(shù)據(jù)、技術(shù)要求、工作要求等):八路智力競(jìng)賽搶答器一、主要技術(shù)指標(biāo)與要求:1、同時(shí)供8名選手或代表隊(duì)參加比賽,他們的編號(hào)分別是0、1、2、3、4、5、6、7各用一個(gè)搶答按鈕,按鈕的編號(hào)與選手的編號(hào)相對(duì)應(yīng),分別是S0、S1、S2、S3、S4、S5、S6、S7。2、給節(jié)目主持人設(shè)置一個(gè)控制開(kāi)關(guān),用來(lái)控制系統(tǒng)的清零(編號(hào)顯示數(shù)碼管滅燈)和搶答的開(kāi)始。3、搶答器具有數(shù)據(jù)鎖存和顯示功能,搶答開(kāi)始后,若有選手按動(dòng)搶答按鈕,編號(hào)立即鎖存,并在LED數(shù)碼管上顯示出選手的編號(hào),同時(shí)揚(yáng)聲器給出音響提示。此外,要封鎖輸入電路,禁止其他選手搶答。優(yōu)先搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清零為止。#擴(kuò)展功能:搶答器具有定時(shí)搶答的功能,且一次搶答的時(shí)間可以由主持人設(shè)定(如30s)。當(dāng)節(jié)目主持人啟動(dòng)“開(kāi)始”鍵后,要求定時(shí)器立即減計(jì)時(shí),并用顯示器顯示,同時(shí)揚(yáng)聲器發(fā)出短暫的聲響,聲響持續(xù)時(shí)間05s左右。二、參考元件(不限,供參考)74LS148 74LS279 74LS48 74LS192 NE55574LS00 74LS121、發(fā)光二極管 共陰極顯示器2對(duì)課程設(shè)計(jì)成果的要求包括圖表、實(shí)物等硬件要求: 設(shè)計(jì)電路,安裝調(diào)試或仿真,分析實(shí)驗(yàn)結(jié)果,并寫(xiě)出設(shè)計(jì)說(shuō)明書(shū),語(yǔ)言流暢簡(jiǎn)潔,文字不得少于3500字。要求圖紙布局合理,符合工程要求,使用Protel軟件繪出原理圖(SCH)和印制電路板(PCB),器件選擇要有計(jì)算依據(jù)。3主要參考文獻(xiàn):1謝自美。電子線路設(shè)計(jì)、實(shí)驗(yàn)、測(cè)試M華中理工大學(xué),20012彭介華.電子技術(shù)課程設(shè)計(jì)指導(dǎo)M.北京:高等教育出版社,19973畢滿清.電子技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì)M.北京:機(jī)械工業(yè)出版社,19954陳明義.電工電子技術(shù)課程設(shè)計(jì)指導(dǎo)M.長(zhǎng)沙:中南大學(xué)出版社,20025陳永甫.新編555集成電路應(yīng)用800例M.北京:電子工業(yè)出版社 20006http:/www. alldatasheet .com. GB4728.12-85 電氣圖用圖形符號(hào)-二值邏輯單元4課程設(shè)計(jì)工作進(jìn)度計(jì)劃:序號(hào)起 止 日 期工 作 內(nèi) 容12011-1-3布置任務(wù),教師講解設(shè)計(jì)方法及要求22011-1-4學(xué)生查找閱讀資料,并確定方案32011-1-5學(xué)生設(shè)計(jì)小組會(huì)議,討論方案42011-1-611設(shè)計(jì)、仿真實(shí)驗(yàn)52010-1-1213寫(xiě)說(shuō)明書(shū),小組討論62010-1-14答辯指導(dǎo)教師蘇澤光日期: 2010 年 12 月 日目 錄引言 51 整體設(shè)計(jì)原理分析 61.1 設(shè)計(jì)思路 61.2 設(shè)計(jì)方案 62 單元電路設(shè)計(jì) 72.1 搶答電路 72.2 定時(shí)電路 72.3 報(bào)警電路 92.4 時(shí)序控制電路 103 總體電路設(shè)計(jì) 114 Multisim仿真結(jié)果及分析 124.1 單元電路仿真結(jié)果及分析 124.1.1 搶答電路 124.1.2 定時(shí)電路134.1.3 報(bào)警電路144.2 總體電路仿真結(jié)果及分析 17結(jié)論 18總結(jié)體會(huì) 18參考文獻(xiàn) 18附錄 19 引言 智力競(jìng)賽是一種生動(dòng)活潑的教育方式,而搶答就是智力競(jìng)賽中非常常見(jiàn)的一種答題方式。搶答能引起參賽者和觀眾的極大興趣,并且能在極短的時(shí)間內(nèi),使人們迅速增加一些科學(xué)知識(shí)和生活常識(shí)。但是,在這類比賽中,對(duì)于誰(shuí)先誰(shuí)后搶答,在何時(shí)搶答,如何計(jì)算答題時(shí)間等等問(wèn)題,若是僅憑主持人的主觀判斷,就很容易出現(xiàn)誤判。所以,我們就需要一種具備自動(dòng)鎖存,置位,清零等功能智能搶答器來(lái)解決這些問(wèn)題。利用本次設(shè)計(jì)出的電路制造成的定時(shí)搶答器,即可輕松實(shí)現(xiàn)在8人或8個(gè)代表隊(duì)之間進(jìn)行的搶答比賽中進(jìn)行控制,使得這一活動(dòng)更加趣味、公平。 1整體設(shè)計(jì)原理分析1.1 設(shè)計(jì)的思路1)本題的根本任務(wù)是準(zhǔn)確判斷出第一搶答者的信號(hào)并將其鎖存。實(shí)現(xiàn)這一功能可用觸發(fā)器或鎖存器等。在得到第一信號(hào)之后應(yīng)立即將電路的輸入封鎖,即使其他組的搶答信號(hào)無(wú)效。同時(shí)還必須注意,第一搶答信號(hào)應(yīng)該在主持人發(fā)出搶答命令之后才有效,否則應(yīng)視為提前搶答而犯規(guī)。2)當(dāng)電路形成第一搶答信號(hào)之后,用編碼、譯碼及數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。還可以用鑒別出的第一搶答信號(hào)控制一個(gè)具有兩種工作頻率交替變化的音頻振蕩器工作,使其推動(dòng)揚(yáng)聲器發(fā)出兩態(tài)笛音音響,表示該題搶答有效。3)計(jì)分電路可采用2位7段數(shù)碼管顯示,由于每次都是加或減10分,故個(gè)位總保持為零,只要十位和百位作加/減計(jì)數(shù)即可,可采用兩級(jí)十進(jìn)制加/減計(jì)數(shù)器完成。4)在主持人沒(méi)有按下開(kāi)始搶答按鈕前,若有人提前搶答,則鳴喇叭“嘟-”表示有組別提前搶答;當(dāng)主持人按下開(kāi)始搶答按鈕后,開(kāi)始進(jìn)行30秒倒計(jì)時(shí),此時(shí),若有組別搶答,顯示該組別并伴有“嘀-嘟”的雙音音響持續(xù)2-3s;當(dāng)計(jì)時(shí)時(shí)間到,仍無(wú)組別搶答,則會(huì)發(fā)出“嘀-”的聲音,主持人清零后開(kāi)始新一輪搶答。1.2設(shè)計(jì)方案優(yōu)先編碼電路主持人控制開(kāi)關(guān)鎖存器譯碼電路譯碼顯示控制電路報(bào)警電路搶答按鈕秒脈沖產(chǎn)生電路定時(shí)電路譯碼電路顯示電路主體電路擴(kuò)展電路 圖3.2 八路搶答器總體框圖 該設(shè)計(jì)搶答電路器的電路主要由搶答電路觸發(fā)電路報(bào)警電路定時(shí)電路顯示電路等組成 工作原理:通電后,節(jié)目主持人將開(kāi)關(guān)置于“清除”位置,搶答器處于禁止工作狀態(tài),編號(hào)顯示器熄滅,定時(shí)器顯示設(shè)定時(shí)間;主持人將開(kāi)關(guān)置“開(kāi)始”位置,宣布“開(kāi)始”搶答,搶答器處于工作狀態(tài),時(shí)器倒計(jì)時(shí)。當(dāng)定時(shí)時(shí)間到,卻沒(méi)有選手搶答時(shí),系統(tǒng)報(bào)警,并封鎖輸入電路,禁止選手超時(shí)后搶答。當(dāng)選手在定時(shí)時(shí)間內(nèi)按動(dòng)搶答鍵時(shí),搶答器要完成以下四項(xiàng)工作:1優(yōu)先判斷,編號(hào)鎖存,編號(hào)顯示,揚(yáng)聲器發(fā)聲提示,當(dāng)一輪搶答之后,定時(shí)器停止工作,禁止二次搶答,定時(shí)器顯示剩余時(shí)間,并保持到主持人將系統(tǒng)清零為止。再次搶答必須由主持人再次操作“清除”和“開(kāi)始”狀態(tài)開(kāi)關(guān)。2單元電路設(shè)計(jì) 設(shè)計(jì)分為搶答、定時(shí)、報(bào)警、時(shí)序控件四個(gè)電路單元。2.1搶答電路 此部分電路主要完成的功能是實(shí)現(xiàn)8路選手搶答并進(jìn)行鎖存,同時(shí)有相應(yīng)發(fā)光二極管點(diǎn)亮和數(shù)碼顯示。 利用74LS148優(yōu)先編碼器對(duì)所有組別進(jìn)行搶答時(shí)的輸入信號(hào)進(jìn)行編碼,將輸出結(jié)果輸入四個(gè)RS觸發(fā)器進(jìn)行信號(hào)保持,同時(shí)利用的返回值控制74LS148優(yōu)先編碼器的使能端,即只要有一組選手先按下?lián)尨鹌?,就?huì)將編碼器鎖死,不再對(duì)其他組進(jìn)行編碼。通過(guò)74LS283加法器使搶答組別數(shù)字顯示1-8,清零時(shí)顯示9.另外,將通過(guò)加法器的輸出信號(hào)輸入74LS42譯碼器,使搶答組輸出端產(chǎn)生低電平平從而使相應(yīng)的發(fā)光二極管點(diǎn)亮。原理圖如下: 圖2.1 搶答電路原理圖 2.2定時(shí)電路 此部分電路主要完成的功能是當(dāng)主持人按下開(kāi)始搶答按鈕后,進(jìn)行30s倒計(jì)時(shí),到0s時(shí)喇叭發(fā)出“嘀-”的聲音。 首先用555定時(shí)電路產(chǎn)生秒振蕩頻率,控制74LS193加減計(jì)數(shù)器的down輸入端,按秒頻率減數(shù)。利用一片74LS85比較器控制高位減法,另一片74LS85比較器完成當(dāng)計(jì)時(shí)器顯示0s時(shí)使另一個(gè)555定時(shí)電路產(chǎn)生振蕩,放大后可推動(dòng)喇叭發(fā)聲。原理圖如下: 圖2.2 定時(shí)電路原理圖2.3 報(bào)警電路 此部分電路主要完成的功能是在選手按下?lián)尨鹌骱蟀l(fā)出“嘀-嘟”的雙音音響并持續(xù)2-3s。選手按下?lián)尨鹌骱髸?huì)使74LS195移位寄存器開(kāi)始移位??梢允褂玫褂?jì)時(shí)電路中的555定時(shí)電路產(chǎn)生的秒振蕩頻率來(lái)控制移位速度,使發(fā)聲時(shí)間保持2-3s。移位寄存器的輸出端分別控制兩個(gè)不同參數(shù)的555振蕩電路,發(fā)出不同頻率的響聲,即“嘀-嘟”的雙音音響。原理圖如下: 圖2.3 報(bào)警電路原理圖2.4 時(shí)序控制電路 時(shí)序控制電路是設(shè)計(jì)的關(guān)鍵,它要完成以下三項(xiàng)功能:1主持人將控制開(kāi)關(guān)撥到“開(kāi)始”位置時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路進(jìn)入正常搶答工作狀態(tài)。2當(dāng)參賽選手按動(dòng)搶答鍵時(shí),揚(yáng)聲器發(fā)聲,搶答電路和定時(shí)電路停止工作。3當(dāng)設(shè)定的搶答時(shí)間到,無(wú)人搶答時(shí),揚(yáng)聲器發(fā)聲,同時(shí)搶答電路和定時(shí)電路停止工作。 根據(jù)上述的功能要求,時(shí)序控制電路如下圖所示。圖中,門(mén)G1作用是控制時(shí)鐘信號(hào)CP的放行與禁止,門(mén)G2的作用是控制74LS148的輸入使能端。 (a) 搶答與定時(shí)時(shí)序控制電路 (b) 報(bào)警電路時(shí)序控制電路 2.4 時(shí)序控制電路原理圖 3 總體電路設(shè)計(jì) 將搶答、定時(shí)、報(bào)警、時(shí)序控制四個(gè)單元電路經(jīng)過(guò)適當(dāng)調(diào)整組裝成八路搶答電路的總體電路。原理圖如下: 圖3 總體電路圖4 Multisim仿真結(jié)果及分析 Multisim仿真軟件簡(jiǎn)介Multisim軟件就是一個(gè)專門(mén)用于電子線路仿真工具軟件。作為 Windows 下運(yùn)行的個(gè)人桌面電子設(shè)計(jì)工具, Multisim 是一個(gè)完整的集成化設(shè)計(jì)環(huán)境。而且Multisim計(jì)算機(jī)仿真與虛擬儀器技術(shù)可以很好的解決理論教學(xué)與實(shí)際動(dòng)手實(shí)驗(yàn)相脫節(jié)的這一老大難問(wèn)題。學(xué)員可以很好地、很方便地把剛剛學(xué)到的理論知識(shí)用計(jì)算機(jī)仿真真實(shí)的再現(xiàn)出來(lái)。并且可以用虛擬儀器技術(shù)創(chuàng)造出真正屬于自己的儀表。極大地提高了學(xué)員的學(xué)習(xí)熱情和積極性。真正的做到了變被動(dòng)學(xué)習(xí)為主動(dòng)學(xué)習(xí)。這些在教學(xué)活動(dòng)中已經(jīng)得到了很好的體現(xiàn)。還有很重要的一點(diǎn)就是:計(jì)算機(jī)仿真與虛擬儀器對(duì)教員的教學(xué)也是一個(gè)很好的提高和促進(jìn)。 理論教學(xué)計(jì)算機(jī)仿真實(shí)驗(yàn)環(huán)節(jié)。 4.1 單元電路仿真結(jié)果及分析 分別對(duì)搶答、定時(shí)、報(bào)警單元電路進(jìn)行仿真,并分析其仿真結(jié)果。 4.1.1 搶答電路 圖4.1.1 Multisim仿真圖將圖6所示搶答器電路在Multisim正確連線后仿真圖,結(jié)果發(fā)現(xiàn)對(duì)應(yīng)開(kāi)關(guān)S0,S1,S2,S3,S4,S5,S6,S7,未加283時(shí)數(shù)碼管的顯示對(duì)應(yīng)為0,4,2,6,1,5,3,7,仔細(xì)檢查后發(fā)現(xiàn),原理圖中148的輸出與279的高低位對(duì)應(yīng)錯(cuò)誤,改正后再加上283后仿真,圖4.1.1為按下開(kāi)關(guān)7后的顯示結(jié)果。4.1.2定時(shí)電路 部分電路主要完成的功能是當(dāng)主持人按下開(kāi)始搶答按鈕后,進(jìn)行30s倒計(jì)時(shí),到0s時(shí)喇叭發(fā)出“嘀-”的聲音。 首先用555定時(shí)電路產(chǎn)生秒振蕩頻率,控制74LS193加減計(jì)數(shù)器的down輸入端,按秒頻率減數(shù)。利用一片74LS85比較器控制高位減法,另一片74LS85比較器完成當(dāng)計(jì)時(shí)器顯示0s時(shí)使另一個(gè)555定時(shí)電路產(chǎn)生振蕩,放大后可推動(dòng)喇叭發(fā)聲。 定時(shí)電路Multisim仿真圖將圖3所示定時(shí)電路在Multisim正確連線后仿真,將開(kāi)關(guān)由“清除”打到“開(kāi)始”時(shí),定時(shí)電路開(kāi)始倒計(jì)時(shí),LED燈點(diǎn)亮,當(dāng)?shù)褂?jì)時(shí)時(shí)間到時(shí),LED燈熄滅,且驅(qū)動(dòng)555使蜂鳴器發(fā)出延時(shí)警報(bào)聲0.5秒。 圖(a) 定時(shí)時(shí)間為20秒時(shí)仿真圖 圖(b) 仿真波形圖 圖4.1.2 定時(shí)電路仿真圖4.1.3 報(bào)警電路單元這部分電路我們是由555構(gòu)成多諧振蕩器,振蕩頻率 fo=1.43/【(R1+2R)C】,其輸出信號(hào)經(jīng)三極管推動(dòng)揚(yáng)聲器。PR為控制信號(hào),當(dāng)PR為諧振蕩器工作;而當(dāng)PR為低電平時(shí),電路停振。原理圖如下: 圖(a) 報(bào)警電路原理圖 圖(b)20秒結(jié)束時(shí)仿真圖 圖(c)20結(jié)束時(shí)報(bào)警電路振蕩圖 圖4.1.3(1) 報(bào)警電路仿真圖如圖4.1.3所示為報(bào)警電路仿真圖,由圖可看出當(dāng)在555的2端來(lái)了低電平的觸發(fā)脈沖后,等到脈沖消失,555在電容c3的作用下會(huì)繼續(xù)保持高電平,為了在Multisim10更清楚的看到仿真結(jié)果,故c3調(diào)整到了100nF,對(duì)192的脈沖也調(diào)整到了100HZ,有圖可看出,在192的高位借位脈沖從到來(lái)到到消失的10ms后,555的輸出沒(méi)有立即變?yōu)榈碗娖?,而時(shí)繼續(xù)保持高電平10ms左右,即圖6所示報(bào)警電路仿真電路可正常延時(shí),其延時(shí)時(shí)間可通過(guò)調(diào)節(jié)c3與R17的大小來(lái)改變,具體計(jì)算公式:Td=1.1Rt*Ct這樣,就可以用根據(jù)電容與電阻的大小來(lái)控制報(bào)警電路具體延時(shí)時(shí)間的大小,達(dá)到一些特殊的功能。在該搶答器的設(shè)計(jì)中,報(bào)警不需要多長(zhǎng)時(shí)間,只是提醒主持人與選手定時(shí)時(shí)間到時(shí),本次搶答結(jié)束,如果需要搶答,需要主持人重新“清除”再“開(kāi)始”。所以我選擇報(bào)警延時(shí)1秒左右,故在實(shí)際電路中將R17選擇為100K,c3選擇為10uF,其理論延時(shí)為1.1秒,符合系統(tǒng)要求。 圖4.1.3(2)報(bào)警電路仿真圖5 總體電路仿真及結(jié)果分析將圖3的簡(jiǎn)易搶答器的總電路原理圖在Multisim中仿真時(shí),第一次仿真時(shí)因?yàn)閿?shù)碼管的下拉電阻過(guò)大,數(shù)碼管不能正常點(diǎn)亮,將其調(diào)小后,數(shù)碼管可正常顯示,但考慮到數(shù)碼管在實(shí)際焊接及工作中的安全性,將下拉電阻設(shè)定在300歐姆。數(shù)碼管正常顯示后,可看出仿真結(jié)果,可是對(duì)應(yīng)于開(kāi)關(guān)S0,S1,S2,S3,S4,S5,S6,S7,數(shù)碼管顯示數(shù)字為04261537,再次出現(xiàn)了高低位錯(cuò)誤的結(jié)果,在仔細(xì)檢查后,正確連線后,可完整實(shí)現(xiàn)系統(tǒng)要求功能。 圖5 總體電路仿真圖結(jié)論 通過(guò)本次課程設(shè)計(jì),使我對(duì)與非門(mén)以及觸發(fā)器等芯片有了更深的了解,對(duì)課本上的知識(shí)有了進(jìn)一步的掌握。在Multisim10環(huán)境下仿真以實(shí)現(xiàn)系統(tǒng)要求,并且在實(shí)際環(huán)境中組裝并焊接實(shí)際電路,通過(guò)調(diào)試完全滿足設(shè)計(jì)要求。簡(jiǎn)易搶答器由搶答電路,定時(shí)電路,報(bào)警電路及電源構(gòu)成。主持人首先提出問(wèn)題,在搶答前,主持人按下開(kāi)始按鍵后,搶答開(kāi)始。若有人搶答,則按下對(duì)應(yīng)按鍵S0,S1,S2,S3,S4,S5,S6,S7,則數(shù)碼管顯示對(duì)應(yīng)數(shù)字,并且其他人再無(wú)法搶答。定時(shí)器為30秒,30秒計(jì)時(shí)到時(shí)則LED燈熄滅且蜂鳴器報(bào)警。心得體會(huì)通過(guò)這次課程設(shè)計(jì),我學(xué)到了很多書(shū)本上沒(méi)有的實(shí)際的知識(shí),熟悉了一些元器件、芯片在工程中的靈活運(yùn)用。在設(shè)計(jì)及制作過(guò)程中,遇到過(guò)一些困難。通過(guò)上網(wǎng)和去圖書(shū)館查資料解決之。并且在網(wǎng)上搜集到了一些元器件及電路的相關(guān)資料對(duì)以后的學(xué)習(xí)及工作是很有幫助的。最重要的是我學(xué)會(huì)了自學(xué)的方法,這將使我今后離開(kāi)學(xué)校,踏上社會(huì)是相當(dāng)有幫助的。其次是進(jìn)一步熟練地掌握和運(yùn)用了Multisim軟件,提高了我們自身的專業(yè)素質(zhì)。這也是我們工科學(xué)生所必須掌握的基礎(chǔ)技能。同時(shí)也深深的體會(huì)到,我們書(shū)本上所學(xué)的知識(shí)和實(shí)際的東西相差甚遠(yuǎn),我們所不懂的知識(shí)還有很多,因此今后我們要更加注重實(shí)際方面的鍛煉和運(yùn)用。參考文獻(xiàn). 電子線路設(shè)計(jì)、實(shí)驗(yàn)、測(cè)試,謝自美,武漢:華中科技大學(xué)出版社,2000年。. 電子技術(shù)基礎(chǔ)(數(shù)字部分),康華光,高等教育出版社,2006年. 電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì),高吉祥,北京:電子工業(yè)出版社,2002年。. 電子技術(shù)基礎(chǔ)實(shí)驗(yàn),陳大欽,武漢:華中科技大學(xué)出版社,2001年。附錄 1 元器件清單及主要芯片的功能8線3線優(yōu)先編碼器 74LS148 1個(gè)鎖存器 74LS279個(gè)譯碼器 74LS42 1個(gè)比較器 74LS85 5個(gè)加法器 74LS283 3個(gè)四2輸入與非門(mén) 74LS00 3個(gè)非門(mén) 74LS04 2個(gè)顯示譯碼器 74LS47 5個(gè)555振蕩器 3個(gè)共陽(yáng)數(shù)碼管 5個(gè)其他:50K、100K電阻、0.01u電容、0.047u電容、100u 第Error! No bookmark name given.頁(yè) 共Error! No bookmark name given.頁(yè)

注意事項(xiàng)

本文(八路智力搶答器)為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!