九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)概述(第一節(jié)).ppt

  • 資源ID:3592767       資源大?。?span id="24d9guoke414" class="font-tahoma">635KB        全文頁(yè)數(shù):77頁(yè)
  • 資源格式: PPT        下載積分:14.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要14.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)概述(第一節(jié)).ppt

計(jì)算機(jī)組成原理?xiàng)罱ayangyou,【課程說(shuō)明】,課程性質(zhì):專業(yè)必修課。知識(shí)面廣、內(nèi)容多、難度大而且更新快,在計(jì)算機(jī)科學(xué)中占重要地位。內(nèi)容:全面介紹計(jì)算機(jī)單處理機(jī)系統(tǒng)的組成和工作原理。先行課:電子技術(shù)、數(shù)字邏輯。建議教材:張基溫計(jì)算機(jī)組成原理教程清華大學(xué)出版社參考教材:白中英計(jì)算機(jī)組成原理科學(xué)出版社,【課程安排】,學(xué)分:3學(xué)分課時(shí):54學(xué)時(shí),根據(jù)2009年的教學(xué)計(jì)劃進(jìn)行課堂講授。成績(jī)計(jì)算方法考勤:占總成績(jī)10(抽查35次考勤)平時(shí)作業(yè):占總成績(jī)20期末筆試:占總成績(jī)70,【各章節(jié)課時(shí)安排】,課程講授第1章計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)概述10學(xué)時(shí)第2章存儲(chǔ)系統(tǒng)12學(xué)時(shí)第3章輸入輸出及其控制10學(xué)時(shí)第4章總線系統(tǒng)5學(xué)時(shí)第5章處理器12學(xué)時(shí)第6章計(jì)算機(jī)系統(tǒng)的發(fā)展5學(xué)時(shí)實(shí)驗(yàn)環(huán)節(jié):結(jié)合“信息管理與信息系統(tǒng)”專業(yè)的實(shí)際要求,本課程原則上無(wú)實(shí)驗(yàn),但可跟據(jù)實(shí)際情況安排少量實(shí)驗(yàn)。,第1章計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)概述,1.1元器件級(jí)的計(jì)算機(jī)結(jié)構(gòu)-開(kāi)關(guān)邏輯1.2功能模塊級(jí)的計(jì)算機(jī)組成1.3指令系統(tǒng)級(jí)的CPU界面1.4操作系統(tǒng)級(jí)的計(jì)算機(jī)系統(tǒng)界面1.5計(jì)算機(jī)系統(tǒng)評(píng)價(jià)與發(fā)展習(xí)題,1.1元器件級(jí)的計(jì)算機(jī)結(jié)構(gòu)-開(kāi)關(guān)邏輯,1.1.1數(shù)據(jù)的開(kāi)關(guān)表示1.1.2邏輯運(yùn)算的開(kāi)關(guān)電路1.1.3算術(shù)運(yùn)算的邏輯電路基礎(chǔ),1.1.1數(shù)據(jù)的開(kāi)關(guān)表示,一只開(kāi)關(guān)只有“開(kāi)”和“關(guān)”兩種狀態(tài)。通常把這兩種狀態(tài)分別用符號(hào)“0”和“1”表示。計(jì)算機(jī)工作中所需要的一切數(shù)據(jù)信息,都是用開(kāi)關(guān)狀態(tài)的組合表示的,或稱為用“0”和“1”編碼表示的。1.數(shù)值數(shù)據(jù)的0、1編碼通常人們使用的是十進(jìn)制計(jì)數(shù)法。十進(jìn)制計(jì)數(shù)法有兩個(gè)主要特點(diǎn):采用0,1,2,3,4,5,6,7,8,9十個(gè)符號(hào)表示數(shù)字;十進(jìn)制的位權(quán)是10的冪,即10i,10i-1,103,102,101,100,10-1,10-2,10-3,位權(quán)即位置本身所具有的數(shù)量級(jí)別。它使一個(gè)表數(shù)符號(hào)在不同的位置上,所代表的數(shù)值不同。與之對(duì)應(yīng),用電子開(kāi)關(guān)表示數(shù)值,只能使用兩個(gè)符號(hào):0和1,所采用的進(jìn)位計(jì)數(shù)法稱為二進(jìn)制。二進(jìn)制的位權(quán)是2的冪,即2i,2i-1,23,22,21,20,2-1,2-2,2-3,表1.1為幾個(gè)十進(jìn)制數(shù)與二進(jìn)制數(shù)之間的對(duì)應(yīng)關(guān)系。顯然,與十進(jìn)制的“逢十進(jìn)一”相似,二進(jìn)制也具有“逢二進(jìn)一”的特征。下面介紹十進(jìn)制數(shù)與二進(jìn)制數(shù)之間的一般轉(zhuǎn)換關(guān)系。,(1)二十(BD)進(jìn)制轉(zhuǎn)換規(guī)則:各位對(duì)應(yīng)的十進(jìn)制值之和;各位對(duì)應(yīng)的十進(jìn)制值為系數(shù)與其位權(quán)之積。例1.1.1101.11101B?D解:位權(quán):2221202-12-22-32-42-5二進(jìn)制數(shù):101.11101計(jì)算:4+0+1+0.5+0.25+0.125+0+0.03125=5.90625D,(2)整數(shù)十二轉(zhuǎn)換規(guī)則:連續(xù)“(向左)除2取余,直到0”例1.1.229D?B解:(3)小數(shù)十二進(jìn)制轉(zhuǎn)換規(guī)則:連續(xù)“(向右)乘2取整,直到0”,有時(shí),小數(shù)十二轉(zhuǎn)換,會(huì)出現(xiàn)轉(zhuǎn)換不完的情況。這時(shí)可按“舍0取1”(相當(dāng)于四舍五入)的原則,取到所需的位數(shù)。,例1.1.30.375D=?B,解:,例1.1.40.24D?B解:連乘0.240.480.961.921.841.681.360.721.44取整0.00111101結(jié)果0.0011111舍入(4)整數(shù)小數(shù)混合十二進(jìn)制轉(zhuǎn)換規(guī)則:從小數(shù)點(diǎn)向左、右,分別按整數(shù)、小數(shù)規(guī)則進(jìn)行。例1.1.529.375D?B解:連續(xù)“除取余”連續(xù)取小數(shù)部分“乘取整”01371429.3750.751.501.0011101.011所以29.375D11101.011B,2.二進(jìn)制運(yùn)算法則(1)加法規(guī)則:“逢2進(jìn)1”0+0=01+0=0+1=11+1=10例1.1.6101.01+110.11?解:101.01+110.111100.00所以101.01+110.111100.00,(2)減法規(guī)則:“借1當(dāng)2”00=010=111=0101=1例1.1.71100.00-110.11?解:1100.00110.11101.01所以1100.00-110.11101.01(3)乘法規(guī)則00=010=01=011=1顯然,二進(jìn)制數(shù)乘法比十進(jìn)制數(shù)乘法比簡(jiǎn)單多了。,例1.1.810.101101?解:10.101被乘數(shù)101乘數(shù)10.101000.00部分積1010.11101.001積所以10.1011011101.001在二進(jìn)數(shù)運(yùn)算過(guò)程中,由于乘數(shù)的每一位只有兩種可能情況,要么是0,要么是1。因此部分積也只有兩種情況,要么是被乘數(shù)本身,要么是0。,根據(jù)這一特點(diǎn),我們可以把二進(jìn)制數(shù)的乘法歸結(jié)為移位和加法運(yùn)算。即通過(guò)測(cè)試乘數(shù)的每一位是0還是1,來(lái)決定部分積是加被乘數(shù)還是加零。除法是乘法的逆運(yùn)算,可以歸結(jié)為與乘法相反方向的移位和減法運(yùn)算。因此,在計(jì)算機(jī)中,只要具有移位功能的加法減法運(yùn)算器,便可以完成四則運(yùn)算。3.八進(jìn)制(Octal)、十六進(jìn)制(Hexadecimal)和二-十進(jìn)制(1)八進(jìn)制和十六進(jìn)制二進(jìn)制數(shù)書(shū)寫(xiě)太長(zhǎng),難認(rèn)、難記。為了給程序員提供速記形式,使用中常用八進(jìn)制和十六進(jìn)制作為二進(jìn)制的助記符形式。,八進(jìn)制記數(shù)符:0,1,2,3,4,5,6,7十六進(jìn)制記數(shù)符:0,1,2,3,4,5,6,7,8,9,A(a),B(b),C(c),D(d),E(e),F(xiàn)(f)將二進(jìn)制數(shù)由小數(shù)點(diǎn)起,向兩側(cè)分別以每3位劃一組(最高位與最低位不足3位以0補(bǔ))。每一組便為一個(gè)八進(jìn)制數(shù)。同理以4位為一組,每一組便為一個(gè)十六進(jìn)制數(shù)。例1.1.9101101110.1111B?H解:補(bǔ)零000101101110.111116EF所以101101110.1111B16E.FH,從根本上來(lái)說(shuō),計(jì)算機(jī)內(nèi)部進(jìn)行的運(yùn)算,實(shí)際上是二進(jìn)制運(yùn)算。但是,把十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制數(shù),并使用二進(jìn)數(shù)計(jì)算的結(jié)果,轉(zhuǎn)換為十進(jìn)制數(shù),在許多小型計(jì)算機(jī)中所花費(fèi)的時(shí)間是很長(zhǎng)的。在計(jì)算的工作量不大時(shí),數(shù)制轉(zhuǎn)換所用時(shí)間會(huì)遠(yuǎn)遠(yuǎn)超過(guò)計(jì)算所需的時(shí)間。在這種情況下,常常采用二-十進(jìn)制數(shù)。(2)二-十進(jìn)制(BCD)碼二-十進(jìn)制(BCD)碼也稱為二進(jìn)制編碼形式的十進(jìn)制數(shù),即用4位二進(jìn)制數(shù)來(lái)表示一位十進(jìn)制數(shù),這種編碼形式可以有多種,其中最自然、最簡(jiǎn)單的一種方式為8-4-2-1碼,也稱壓縮的BCD碼。即這4位二進(jìn)制數(shù)的權(quán),從左往右分別為8,4,2,1。,例1.1.103579D?BCD解:35790011010101111001所以3579D0011010101111001BCD4位二進(jìn)制數(shù)可以表示16種狀態(tài),而每位十進(jìn)數(shù)只可能有10種狀態(tài)。因此用4位二進(jìn)制數(shù)表示一位十進(jìn)制數(shù)時(shí)有6種狀態(tài)是多余的,稱為非法碼。因此使用BCD碼的運(yùn)算過(guò)程中,要用狀態(tài)寄存器中的有關(guān)位表示產(chǎn)生的進(jìn)位或借位(稱半進(jìn)位),通過(guò)對(duì)半進(jìn)位的測(cè)試,決定是否需要對(duì)運(yùn)算結(jié)果加以調(diào)整。,相對(duì)于壓縮的BCD碼,把用8位二進(jìn)制數(shù)表示的一位十進(jìn)制數(shù)的編碼稱為非壓縮的BCD碼,這時(shí)高4位無(wú)意義,低4位是一個(gè)BCD碼。數(shù)字的ASCII碼中的高4位是0011(3),低4位正好是一個(gè)BCD碼。所以,數(shù)字的ASCII碼也是一種非壓縮的BCD碼。4.機(jī)器數(shù)在計(jì)算機(jī)中不僅要用0,1編碼的形式表示一個(gè)數(shù)的數(shù)值部分,正、負(fù)號(hào)也要用0,1編碼來(lái)表示。一般用數(shù)的最高位(最左邊一位)(MSB,MostSignificantBit)表示數(shù)的正負(fù),如:MSB0表示正數(shù),如+1011表示為01011;MSB1表示負(fù)數(shù),如-1011表示為11011。,一個(gè)數(shù)在機(jī)器內(nèi)的表示形式稱為機(jī)器數(shù)。它把一個(gè)數(shù)連同它的符號(hào)在機(jī)器中被0,1編碼化了。這個(gè)數(shù)本身的值稱為該機(jī)器數(shù)的真值。上邊的“01011”和“11011”就是兩個(gè)機(jī)器數(shù)。它們的真值分別為+1011和-1011。當(dāng)然,在不需要考慮數(shù)的正、負(fù)時(shí),是不需要用一位來(lái)表示符號(hào)的。這種沒(méi)有符號(hào)位的數(shù),稱為無(wú)符號(hào)數(shù)。由于符號(hào)位要占用一位,所以用同樣字長(zhǎng),無(wú)符號(hào)數(shù)的最大值比有符號(hào)數(shù)要大一倍。如字長(zhǎng)為4位時(shí),能表示的無(wú)符號(hào)數(shù)的最大值為1111,即15,而表示的無(wú)符號(hào)數(shù)的最大值為111,即7。,直接用一位用0,1碼表示正、負(fù),而數(shù)值部分不變,在運(yùn)算時(shí)帶來(lái)一些新的問(wèn)題:(1)兩個(gè)正數(shù)相加時(shí),符號(hào)位可以同時(shí)相加:0+00,即和仍然為正數(shù),沒(méi)有影響運(yùn)算的正確性。(2)一個(gè)正數(shù)與一個(gè)負(fù)數(shù)相加,和的符號(hào)位不是兩符號(hào)位直接運(yùn)算的值:0+11,而由兩數(shù)的大小決定。即和的符號(hào)位是由兩數(shù)中絕對(duì)值大的一個(gè)數(shù)所決定的。(3)兩個(gè)負(fù)數(shù)相加時(shí),由于1+110,因此和的符號(hào)也不是由兩符號(hào)位直接運(yùn)算的結(jié)果所決定。,簡(jiǎn)單地說(shuō),用這樣一種直接的形式進(jìn)行加運(yùn)算時(shí),負(fù)數(shù)的符號(hào)位不能與其數(shù)值部分一道參加運(yùn)算,而必須利用單獨(dú)的線路確定和的符號(hào)位。這樣使計(jì)算機(jī)的結(jié)構(gòu)變得復(fù)雜化了。為了解決機(jī)器內(nèi)負(fù)數(shù)的符號(hào)位參加運(yùn)算的問(wèn)題,引入了反碼和補(bǔ)碼兩種機(jī)器數(shù)形式,而把前邊的直接形式稱為原碼。(1)反碼對(duì)正數(shù)來(lái)說(shuō),其反碼和原碼的形式是相同的。即X原X反對(duì)負(fù)數(shù)來(lái)說(shuō),反碼為其原碼的數(shù)值部分的各位變反,比如:XX原X反+11010110101101-11011110110010取反反碼運(yùn)算要注意3個(gè)問(wèn)題:反碼運(yùn)算時(shí),其符號(hào)位與數(shù)值一起參加運(yùn)算。反碼的符號(hào)位相加后,如果有進(jìn)位出現(xiàn),則要把它送回到最低位去相加。這叫做循環(huán)進(jìn)位。反碼運(yùn)算有如右性質(zhì):X反+Y反X+Y反。,例1.1.11已知:X0.1101Y-0.0001求:X+Y?解:X反0.1101正數(shù)的反碼與原碼相同+Y反1.111010.1011+循環(huán)進(jìn)位1X+Y反0.1100所以X+Y0.1100,例1.1.12已知:X-0.1101Y-0.0001求:X+Y?解:X反1.0010+Y反1.111011.0000+循環(huán)進(jìn)位1X+Y反1.0001所以X+Y-0.1110,(2)補(bǔ)碼對(duì)正數(shù)來(lái)說(shuō),其補(bǔ)碼和原碼的形式是相同的:X原X補(bǔ);對(duì)負(fù)數(shù)來(lái)說(shuō),補(bǔ)碼為其反碼(數(shù)值部分各位變反)的末位補(bǔ)加1。例如XX原X反X補(bǔ)+1101011010110101101-1101111011001010011取反補(bǔ)1這種求負(fù)數(shù)的補(bǔ)碼的方法,在邏輯電路中實(shí)現(xiàn)起來(lái)是很容易的。不論對(duì)正數(shù),還是對(duì)負(fù)數(shù),反碼與補(bǔ)碼具有下列相似的性質(zhì):X反反X原X補(bǔ)補(bǔ)X原,例1.1.13原碼、補(bǔ)碼的性質(zhì)舉例:變反X反反XX原變反X反加1X補(bǔ)變反X補(bǔ)反加1X補(bǔ)補(bǔ)+11010110101101011010110101101-11011110110010100111110011101采用補(bǔ)碼運(yùn)算也要注意3個(gè)問(wèn)題補(bǔ)碼運(yùn)算時(shí),其符號(hào)位也要與數(shù)值部分一樣參加運(yùn)算。符號(hào)運(yùn)算后如有進(jìn)位出現(xiàn),則把這個(gè)進(jìn)位舍去不要。補(bǔ)碼運(yùn)算有如右性質(zhì):X補(bǔ)+Y補(bǔ)X+Y補(bǔ),例1.1.14已知:X0.1101Y-0.0001求:X+Y?解:X補(bǔ)0.1101+Y補(bǔ)1.1111X+Y補(bǔ)10.1100舍去不要所以X+Y0.1100,例1.1.15已知:X-0.1101Y-0.0001求:X+Y?解:X補(bǔ)1.0011+Y補(bǔ)1.1111X+Y補(bǔ)11.0010舍去不要所以X+Y-0.1110采用反碼和補(bǔ)碼,就可以基本上解決負(fù)數(shù)在機(jī)器內(nèi)部數(shù)值連同符號(hào)位一起參加運(yùn)算的問(wèn)題。,(3)移碼移碼是在補(bǔ)碼的最高位加1,故又稱增碼。例1.1.16幾個(gè)數(shù)的4位二進(jìn)制補(bǔ)碼和移碼真值補(bǔ)碼移碼+300111011000001000-311010101顯然,補(bǔ)碼和移碼的數(shù)值部分相同,而符號(hào)位相反。例1.1.17幾個(gè)典型數(shù)的原碼、反碼、補(bǔ)碼和移碼表示。由表1.2可見(jiàn),字長(zhǎng)為8位時(shí),原碼、反碼的表數(shù)范圍為+127-127,而補(bǔ)碼的表數(shù)范圍為+127-128。這是因?yàn)樨?fù)數(shù)的補(bǔ)碼是在其反碼上加1的緣故。,從表中還看到:反碼有+0與-0之分。從+128到-128,數(shù)字是從大到小排列的。只有移碼能直接反映出這一大小關(guān)系。因而移碼能像無(wú)符號(hào)數(shù)一樣直接進(jìn)行大小比較。5.機(jī)器數(shù)的浮點(diǎn)與定點(diǎn)表示法(1)機(jī)器數(shù)的浮點(diǎn)表示法一個(gè)十進(jìn)制數(shù)可以表示為:N13.141590.3141591010.0314159102同樣,一個(gè)二進(jìn)制數(shù)可以表示為:N20.011B0.110B2-10.0011B21一般地說(shuō),一個(gè)任意二進(jìn)制數(shù)N可以表示為:N2EM,式中:E數(shù)N的階碼;M數(shù)N的有效數(shù)字,稱為尾數(shù)。當(dāng)E變化時(shí),數(shù)N的尾數(shù)M中的小數(shù)點(diǎn)位置也隨之向左或向右浮動(dòng)。因此將這種表示法稱為數(shù)的浮點(diǎn)表示法。對(duì)于這樣一個(gè)式子,在計(jì)算機(jī)中用約定的4部分表示,如圖1.1所示。其中,Ef,S分別稱為階碼E和尾數(shù)M的符號(hào)位。由于不同的機(jī)器的字長(zhǎng)不同,采用浮點(diǎn)表示法時(shí),要預(yù)先對(duì)上述4部分所占的二進(jìn)制位數(shù)加以約定,機(jī)器才可以自動(dòng)識(shí)別。按照IEEE754:1985標(biāo)準(zhǔn),常用的浮點(diǎn)數(shù)的格式如圖1.2所示。,它把尾數(shù)的符號(hào)位安排在最高一位。階符采用隱含形式,即采用移碼方法來(lái)表示正負(fù)指數(shù)。移碼方法對(duì)兩個(gè)指數(shù)大小的比較和對(duì)階操作都比較方便,因?yàn)殡A碼域值大者其指數(shù)值也大。采用這種方式時(shí),將浮點(diǎn)數(shù)的指數(shù)真值e變成階碼E時(shí),應(yīng)將指數(shù)e加上一個(gè)固定的偏移量127(01111111),即E=e+127.對(duì)32位的浮點(diǎn)數(shù)(即單精度格式),S占1位,E占8位,M占23位;對(duì)64位的浮點(diǎn)數(shù)(即雙精度格式),S占1位,E占11位,M占52位。在尾數(shù)一般為小數(shù)。為了提高表數(shù)精度,充分利用尾數(shù)的有效位數(shù),在浮點(diǎn)機(jī)中常采用數(shù)的規(guī)格化表示法。即當(dāng)尾數(shù)不為0時(shí),其絕對(duì)值應(yīng)0.5,否則應(yīng)修改階碼。使非規(guī)格化數(shù)變?yōu)橐?guī)格化數(shù)的過(guò)程,稱為數(shù)的規(guī)格化處理。,IEEE754標(biāo)準(zhǔn)約定,在小數(shù)點(diǎn)的左邊有一隱含位M0。因而,單精度浮點(diǎn)數(shù)尾數(shù)部分實(shí)際上是24位,雙精度浮點(diǎn)數(shù)尾數(shù)部分實(shí)際上是53位,S的值只取0或1。下面為真值以及E,M,M0之間的關(guān)系。E=0且M=0,則N=0,即M0=0E=0且M0,為非規(guī)格化數(shù),N=(-1)S2-126(0.M),即M0=01E254,為規(guī)格化數(shù),N=(-1)S2E-127(1.M),即M0=1E=255且M=0,則為無(wú)窮大數(shù),N=(-1)SE=255且M0,則為非數(shù)值數(shù),采用浮點(diǎn)法進(jìn)行數(shù)的乘法運(yùn)算時(shí),其尾數(shù)相乘除,其階碼相加減;進(jìn)行加減運(yùn)算時(shí),必須使參加運(yùn)算的數(shù)的階碼相同,即必須進(jìn)行對(duì)階處理,然后進(jìn)行尾數(shù)的加減運(yùn)算。(2)機(jī)器數(shù)的定點(diǎn)表示法如果讓機(jī)器中所有的數(shù)都采用同樣的階碼aj,就有可能將此固定的aj略去不表示出來(lái)。這種表數(shù)方式稱為數(shù)的定點(diǎn)表示法。其中所略去的aj稱為定點(diǎn)數(shù)的比例因子。因此一個(gè)定點(diǎn)數(shù)便簡(jiǎn)化為由如下兩部分來(lái)表示:Sf與S。,從理論上講,比例因子的選擇是任意的,也就是說(shuō)尾數(shù)中的小數(shù)點(diǎn)位置可以是任意的。但是為了方便,一般都將尾數(shù)表示成純小數(shù)或純整數(shù)的形式。另外,對(duì)比例因子的選擇還有一些以下技術(shù)上的要求。(1)比例因子的選擇不能太大。比例因子選擇太大,將會(huì)使某些數(shù)丟掉過(guò)多的有效數(shù)字,影響運(yùn)算精度。如數(shù)N0.11,機(jī)器字長(zhǎng)4位,則:當(dāng)比例因子為2時(shí),S0.011;當(dāng)比例因子為22時(shí),S0.001;當(dāng)比例因子為23時(shí),S0.000。,(2)比例因子也不可選得太小。太小了就有可能使數(shù)超過(guò)了機(jī)器允許的范圍,即尾數(shù)部分的運(yùn)算所產(chǎn)生的進(jìn)位影響了符號(hào)位的正確性。如0111+01011100,正數(shù)相加的結(jié)果變成了負(fù)數(shù)。當(dāng)字長(zhǎng)一定時(shí),浮點(diǎn)表示法能表示的數(shù)的范圍比定點(diǎn)數(shù)大,而且階碼部分占的位數(shù)越多,能表示的數(shù)的范圍就越大。但是,由于浮點(diǎn)數(shù)的階碼部分占用了一些位數(shù),使尾數(shù)部分的有效位數(shù)減少,數(shù)的精度亦降低。為了提高浮點(diǎn)數(shù)的精度,就要采用多字節(jié)形式。6.非數(shù)值數(shù)據(jù)的0、1編碼計(jì)算機(jī)不僅能夠?qū)?shù)值數(shù)據(jù)進(jìn)行處理,還能夠?qū)ξ谋竞推渌菙?shù)值數(shù)據(jù)信息進(jìn)行處理。非數(shù)值數(shù)據(jù)是指不能進(jìn)行算術(shù)運(yùn)算的數(shù)據(jù),包括文字、圖形、圖象和聲音等。,為了處理文本,需要一個(gè)完整而足夠的字符集,這個(gè)字符集最少應(yīng)包括:26個(gè)小寫(xiě)字母;26個(gè)大寫(xiě)字母;約25個(gè)特殊字符,如:,+,-,|,#等;10個(gè)數(shù)字碼:0,1,2,3,4,5,6,7,8,9。共計(jì)87個(gè)字符。這87個(gè)字符須用7位“0”,“1”進(jìn)行編碼。常用的編碼形式有兩種:美國(guó)信息交換標(biāo)準(zhǔn)代碼(ASCII)和擴(kuò)展二十進(jìn)制交換代碼(EBCDIC),所有小型計(jì)算機(jī)和微型計(jì)算機(jī)都采用ASCII碼。,表1.3為ASCII碼字符表,它用8位來(lái)表示字符代碼。其基本代碼占7位,第8位用作奇偶校驗(yàn)位,通過(guò)對(duì)奇偶校驗(yàn)位設(shè)置“1”或“0”狀態(tài),保持8位字節(jié)中的“1”的個(gè)數(shù)總是奇數(shù)(稱奇校驗(yàn))或偶數(shù)(稱為偶校驗(yàn)),用以檢測(cè)字符在傳送(寫(xiě)入或讀出)過(guò)程中是否出錯(cuò)(丟失1)。ENQ(查詢)、ACK(肯定回答)、NAK(否定回答)等,是專門(mén)用于串行通信的控制字符。在碼表中查找一個(gè)字符所對(duì)應(yīng)的ASCII碼的方法是:向上找b6b5b4向左找b3b2b1b0。例如,字母J的ASCII碼中的b6b5b4為100B(5H),b3b2b1b0為1010B(AH)。因此,J的ASCII碼為1001010B(5AH)。,7.數(shù)據(jù)傳輸中的差錯(cuò)校驗(yàn)計(jì)算機(jī)系統(tǒng)工作過(guò)程中,由于脈沖噪聲、串音、傳輸質(zhì)量等原因,有時(shí)在信息的形成、存取、傳送中會(huì)造成錯(cuò)誤。為減少和避免這些錯(cuò)誤,一方面要提高硬件的質(zhì)量,另一方面可以采用抗干擾碼,其基本思想是按一定的規(guī)律在有用信息的基礎(chǔ)上再附加上一些冗余信息,使編碼在簡(jiǎn)單線路的配合下能發(fā)現(xiàn)錯(cuò)誤、確定錯(cuò)誤位置甚至自動(dòng)糾正錯(cuò)誤。通常,一個(gè)k位的信息碼組應(yīng)加上r位的校驗(yàn)碼組(奇偶校驗(yàn)碼的r=1),組成n位抗干擾碼字(在通信系統(tǒng)中稱為一幀)。例如,奇偶校驗(yàn)碼是在信息碼之外再加上一位校驗(yàn)位,借奇偶校驗(yàn)線路來(lái)檢測(cè)碼字是否合法。抗干擾碼可分為檢錯(cuò)碼和糾錯(cuò)碼。所謂檢錯(cuò)碼是指能自動(dòng)發(fā)現(xiàn)差錯(cuò)的碼。所謂糾錯(cuò)碼是指不僅能發(fā)現(xiàn)差錯(cuò)而且能自動(dòng)糾正差錯(cuò)的碼。不過(guò)應(yīng)該指出,這兩類碼之間并沒(méi)有明顯的界限。糾錯(cuò)碼也可用來(lái)檢錯(cuò),而有的檢錯(cuò)碼可以用來(lái)糾錯(cuò)??垢蓴_碼的編碼原則是在不增加硬件開(kāi)銷的情況下,用最小的校驗(yàn)碼組,發(fā)現(xiàn)、糾正更多的錯(cuò)誤。一般說(shuō)來(lái),校驗(yàn)碼組越長(zhǎng),其發(fā)現(xiàn)、糾正錯(cuò)誤的能力越強(qiáng)。,(1)奇偶校驗(yàn)碼通常奇偶校驗(yàn)以字符為單位進(jìn)行分組。如傳送ASCII碼時(shí),每傳送7位的信息碼組,都要傳送一位附加的冗余校驗(yàn)位;該校驗(yàn)位可以作為碼字的最高位,也可以作為碼字的最低位,使得整個(gè)字符碼組(共8位)中1或0的數(shù)目為奇數(shù)或偶數(shù)。對(duì)于奇校驗(yàn),1(或0)的數(shù)目為奇數(shù)為合法碼;為偶數(shù),便是非法碼。對(duì)于偶校驗(yàn),1(或0)的數(shù)目為偶數(shù)為合法碼;為奇數(shù),便是非法碼。由此,可以設(shè)計(jì)出校驗(yàn)邏輯(偶校驗(yàn)):P=C6C5C4C3C2C1C0P(P為校驗(yàn)位值)P=0,無(wú)錯(cuò);P=1,有錯(cuò)。,奇偶校驗(yàn)?zāi)軝z測(cè)出傳輸中任意奇數(shù)個(gè)錯(cuò)誤,但不能檢測(cè)出偶數(shù)個(gè)錯(cuò)誤。(2)海明碼由前面的討論可以發(fā)現(xiàn),ASCII碼是沒(méi)有檢錯(cuò)能力的。因?yàn)橐粋€(gè)ASCII碼出現(xiàn)一位錯(cuò)時(shí),就變成了另一個(gè)合法的ASCII碼。我們稱ASCII碼的碼距為1。而對(duì)于橫向奇偶校驗(yàn)或縱向奇偶校驗(yàn)碼來(lái)說(shuō),由任意一個(gè)碼字變?yōu)榱硪粋€(gè)碼字,至少要變化兩位,我們稱其碼距為2。碼距為2只能檢測(cè)出代碼中的一位錯(cuò)。碼距,就是一種編碼系統(tǒng)中兩個(gè)任意合法碼之間的最少二進(jìn)制位數(shù)差異。,糾錯(cuò)理論證明:碼距越大,檢錯(cuò)和糾錯(cuò)能力越強(qiáng),并且有關(guān)系L-1=D+C其中,L為碼距,D為可以檢出的錯(cuò)誤位數(shù),C可以糾正的錯(cuò)誤位數(shù),并且有DC。顯然,如果能在數(shù)據(jù)碼中增加幾個(gè)校驗(yàn)位,將數(shù)據(jù)代碼的碼距均勻地拉大,并且把數(shù)據(jù)的每一二進(jìn)制位分配在幾個(gè)奇偶校驗(yàn)組中。當(dāng)某一位出錯(cuò)后,會(huì)引起幾個(gè)校驗(yàn)位的值的變化。這樣,不但能夠檢測(cè)出錯(cuò)誤,而且能夠?yàn)檫M(jìn)一步糾錯(cuò)提供依據(jù)。海明碼就是根據(jù)這一理論,由RichadHamming于1950年提出的一種很有效的校驗(yàn)方法。,假設(shè)校驗(yàn)碼組的為r位,則它共有2r個(gè)狀態(tài),用其中一個(gè)狀態(tài)指出“無(wú)錯(cuò)”,其余的2r-1個(gè)狀態(tài)便可用于錯(cuò)誤定位。設(shè)有效信息碼組為k位,并考慮到錯(cuò)誤也可能發(fā)生在校驗(yàn)位,則須定位狀態(tài)共有k+r個(gè)。也就是說(shuō),要能充分地進(jìn)行錯(cuò)誤定位,應(yīng)有關(guān)系:2r-1k+r由此,可以計(jì)算出表1.4中的值。若編成的海明碼為HmHm-1H2H1,則海明碼的編碼規(guī)律為:(a)校驗(yàn)位分布:在m位的海明碼中,各校驗(yàn)位分布在位號(hào)為21的位置,即校驗(yàn)位的位置分別為1,2,4,8,,其余為數(shù)據(jù)位。數(shù)據(jù)位按原來(lái)的順序關(guān)系排列。如有效信息碼為D5D4D3D2D1,則編成的海明碼為D5P4D4D3D2P3D1P2P1,其中Pi-為第i個(gè)校驗(yàn)位。,(b)校驗(yàn)關(guān)系:海明碼的每一位Hi要有多個(gè)校驗(yàn)位校驗(yàn)。校驗(yàn)關(guān)系是被校驗(yàn)位的位號(hào)為校驗(yàn)位的位號(hào)之和。如D1(位號(hào)為3)要由P2與P1兩個(gè)校驗(yàn)位校驗(yàn),D2(位號(hào)為5)要由P3(位號(hào)為4)與P1兩個(gè)校驗(yàn)位校驗(yàn),D3(位號(hào)為6)要由P2與P3兩個(gè)校驗(yàn)位校驗(yàn),D4(位號(hào)為7)要由P1、P2、P3三個(gè)校驗(yàn)位校驗(yàn)。(3)循環(huán)冗余校驗(yàn)碼(CRC)循環(huán)冗余校驗(yàn)碼(CyclicRedudancyCheck)簡(jiǎn)稱CRC(循環(huán)碼),是一種能力相當(dāng)強(qiáng)的檢錯(cuò)、糾錯(cuò)碼,并且實(shí)現(xiàn)編碼和檢碼的電路比較簡(jiǎn)單,常用于串行傳送(二進(jìn)制位串沿一條信號(hào)線逐位傳送)的輔助存儲(chǔ)器與主機(jī)的數(shù)據(jù)通信和計(jì)算機(jī)網(wǎng)絡(luò)中。,循環(huán)冗余校驗(yàn)碼是一種基于模2運(yùn)算建立編碼規(guī)律的校驗(yàn)碼。它可以通過(guò)模2運(yùn)算來(lái)建立有效信息和校驗(yàn)位之間的約定關(guān)系,即要求k=n+r位的某數(shù)能被一個(gè)約定的數(shù)除盡,其中n是待編碼的有效信息,r是校驗(yàn)位設(shè)待編碼的有效信息以多項(xiàng)式M(x)表示,用約定的一個(gè)多項(xiàng)式G(x)去除,一般情況下得到一個(gè)商Q(x)和余數(shù)R(x):M(x)=Q(x)G(x)+R(x)M(x)-R(x)=Q(x)G(x)顯然,將M(x)減去余數(shù)R(x)就必定能G(x)所除盡。因而可以設(shè)想讓M(x)-R(x)作為編好的校驗(yàn)碼送往目標(biāo)部件,當(dāng)從目標(biāo)部件取得校驗(yàn)碼時(shí),仍用約定的多項(xiàng)式G(x)去除,若余數(shù)為0,表明該校驗(yàn)碼正確;若余數(shù)不為0,表面有錯(cuò),再進(jìn)一步由余數(shù)值確定出哪一位出錯(cuò),從而加以糾正。,循環(huán)碼是指通過(guò)某種數(shù)學(xué)運(yùn)算實(shí)現(xiàn)有效信息與校驗(yàn)位之間的循環(huán)校驗(yàn)(而海明碼是一種多重校驗(yàn))(a)編碼步驟步驟1:將待編碼的n位信息碼組Cn-1Cn-2CiC2C1C0表達(dá)為一個(gè)n-1階的多項(xiàng)式M(x)M(x)=Cn-1xn-1+Cn-2xn-1+Cixi+C1x1+C0 x0步驟2:將信息碼組左移k位,成M(x)xk,即成n+k位的信息碼組Cn-1+kCn-2+kCi+kC2+kC1+kCk0000。步驟3:用k+1位的生成多項(xiàng)式G(x)對(duì)M(x)xk作模2除,得到一個(gè)商Q(x)和一個(gè)余數(shù)R(x)。顯然,有M(x)xk=Q(x)G(x)+R(x)生成多項(xiàng)式G(x)是預(yù)先選定的。關(guān)于它,稍后再進(jìn)行介紹。這里先介紹一下模2除。,模2運(yùn)算是指依按位模2加減為基礎(chǔ)的四則運(yùn)算,運(yùn)算時(shí)不考慮進(jìn)位和借位。模2加減的規(guī)則為:兩數(shù)相同為0,兩數(shù)相異為1。模2除,就是求用2整除所得到的余數(shù)。每求一位商應(yīng)使部分余數(shù)減少一位。上商的原則是:當(dāng)部分余數(shù)最高位為1時(shí),商取1;當(dāng)部分余數(shù)最高位為0時(shí),商取0。如,步驟4:將將左移k位的待編碼有效信息與余數(shù)R(x)作模2加,即形成循環(huán)冗余校驗(yàn)碼。例1.1.18對(duì)四位有效信息1100作循環(huán)冗余校驗(yàn)碼,選擇生成多項(xiàng)式G(x)為1011(k=3)。步驟1:M(x)=x3+x2=1100步驟2:M(x)x3=x6+x5=1100000(k=3,即加了3個(gè)0)步驟3:模2除,M(x)xk/G(x)=1100000/1011=1110+010/1011,即R(x)=010步驟4:模2加,得到循環(huán)冗余校驗(yàn)碼Q(x)G(x)=M(x)x3+R(x)=110000+010=1100010,(b)糾錯(cuò)原理由于M(x)xk=Q(x)G(x)+R(x),根據(jù)模2加的規(guī)則M(x)xk+R(x)=M(x)xk-R(x)=Q(x)G(x)所以合法的循環(huán)冗余校驗(yàn)碼應(yīng)當(dāng)能被生成多項(xiàng)式整除。如果循環(huán)冗余校驗(yàn)碼不能被生成多項(xiàng)式整除,就說(shuō)明出現(xiàn)了信息差錯(cuò)。并且,有信息差錯(cuò)時(shí),循環(huán)冗余校驗(yàn)碼被生成多項(xiàng)式整除所得到的余數(shù)與出錯(cuò)位有對(duì)應(yīng)關(guān)系,因而能確定出錯(cuò)位置。表1.5為例2.3.1所得到的循環(huán)冗余校驗(yàn)碼的出錯(cuò)模式。進(jìn)一步分析還會(huì)發(fā)現(xiàn),當(dāng)循環(huán)冗余校驗(yàn)碼有一位出錯(cuò)時(shí),用生成多項(xiàng)式作模2除將得到一個(gè)不為0的余數(shù),將余數(shù)補(bǔ)0繼續(xù)作模2除又得到一個(gè)不為0的余數(shù),再補(bǔ)0再作模2除,于是余數(shù)形成循環(huán)。對(duì)上例,形成001,010,100,011,110,111,101;001,010,100,的余數(shù)循環(huán)。這也就是“循環(huán)碼”的來(lái)歷。,(c)關(guān)于生成多項(xiàng)式并不是任何一個(gè)多項(xiàng)式都可以作為生成多項(xiàng)式。從檢錯(cuò)和糾錯(cuò)的要求出發(fā),生成多項(xiàng)式應(yīng)能滿足下列要求:任何一位發(fā)生錯(cuò)誤都應(yīng)使余數(shù)不為0。不同位發(fā)生錯(cuò)誤應(yīng)使余數(shù)不同。對(duì)余數(shù)繼續(xù)作模2運(yùn)算,應(yīng)使余數(shù)循環(huán)。生成多項(xiàng)式的選擇主要靠經(jīng)驗(yàn)。有三種多項(xiàng)式已經(jīng)成為標(biāo)準(zhǔn),具有極高的檢錯(cuò)率。它們是:CRC-12=x12+x11+x3+x2+x+1CRC-16=x16+x15+x2+1CRC-CCITT=x16+x12+x5+1,1.1.2邏輯運(yùn)算的開(kāi)關(guān)電路,1.用開(kāi)關(guān)實(shí)現(xiàn)門(mén)電路傳統(tǒng)的邏輯學(xué)是二值邏輯學(xué),它研究命題在“真”、“假”兩個(gè)值中取值的規(guī)律。0,1碼只有兩個(gè)碼,因此特別適合用做邏輯的表達(dá)符號(hào)。通常用“1”表示“真”,用“0”表示“假”。邏輯代數(shù)是表達(dá)語(yǔ)言和思維邏輯性的符號(hào)系統(tǒng)。邏輯代數(shù)中最基本的運(yùn)算是“與”、“或”、“非”。(1)“與”運(yùn)算和“與門(mén)”觀察圖1.3(a)所示的電路可以看出,只有開(kāi)關(guān)A與B都閉合時(shí),X才是高電位。這種邏輯關(guān)系稱之為邏輯“與”,可以表達(dá)為:,XAandB或XAB實(shí)現(xiàn)“與”邏輯功能的電路單元叫“與門(mén)”,在電路中用圖1.3(b)所示的符號(hào)表示。其真值表見(jiàn)圖1.3(c)。所謂真值表,是指由自變量的各種取值組合而成與函數(shù)值之間的對(duì)應(yīng)關(guān)系表格。函數(shù)取值為“1”的項(xiàng)數(shù),表明函數(shù)運(yùn)算多項(xiàng)式中的項(xiàng)數(shù)。如“與”的運(yùn)算多項(xiàng)式中只含1項(xiàng)。它反映了函數(shù)邏輯“與”有如下一些特點(diǎn):111100010000它與“乘”相似,所以也稱“邏輯乘”,相應(yīng)地也可以記為:XAB=AB(2)“或”運(yùn)算和“或門(mén)”觀察圖1.4(a)所示的電路可以看出,只要開(kāi)關(guān)A或B閉合,X便是高電位。這種邏輯關(guān)系稱為邏輯“或”,表示為:XAorBXAB,能實(shí)現(xiàn)“或”邏輯功能的電路單元叫“或門(mén)”,在電路中用圖1.4(b)所示的符號(hào)表示。其真值表見(jiàn)圖1.4(c)。由邏輯“或”的真值表可以看出,邏輯“或”有如下一些特點(diǎn)111101011000這與“加”相似,所以也稱“邏輯加”,有時(shí)也記為:XA+B(3)“非”運(yùn)算和“非門(mén)”觀察圖1.5(a)所示的電路可以看出,只有當(dāng)開(kāi)關(guān)A打開(kāi)時(shí),燈泡L才亮,這種邏輯關(guān)系稱為邏輯“非”,可以表示為:XnotA能實(shí)現(xiàn)“非”邏輯功能的電路單元叫“非門(mén)”,在電路中用圖1.5(b)所示的符號(hào)表示。其真值表見(jiàn)圖1.5(c)。,邏輯“非”有如下一些特點(diǎn):not10not01所以“非”邏輯也稱邏輯反,有時(shí)也可寫(xiě)成:XA(4)組合邏輯電路正如復(fù)雜問(wèn)題的解法可以通過(guò)相應(yīng)的算法,最終化為四則運(yùn)算等初等數(shù)學(xué)方法進(jìn)行運(yùn)算一樣,任何復(fù)雜的邏輯問(wèn)題,最終可用“與”、“或”、“非”這3種基本邏輯運(yùn)算的組合加以描述。常用的組合邏輯電路單元有“與非門(mén)”、“或非門(mén)”、“異或門(mén)”、“同或門(mén)”等,它們都是計(jì)算機(jī)中廣泛應(yīng)用的基本組合邏輯電路單元。表1.6出了幾種組合邏輯電路單元的符號(hào),邏輯表達(dá)式及其真值表。,“與非門(mén)”、“或非門(mén)”都是先“與”、“或”再“非”;“異或門(mén)”是輸入相同則為0,輸入不同則為1;反之,“同或門(mén)”是輸入相同則為1,輸入不同則為0。2.邏輯代數(shù)的基本定律根據(jù)邏輯加、乘、反的3種基本運(yùn)算法則,可推導(dǎo)出邏輯運(yùn)算的一些基本定律。其中最常用的有以下7種。(1)關(guān)于變量與常量的關(guān)系A(chǔ)+0AA+11A+A1A00A1AAA0(2)重復(fù)律AAAA+AA,(3)吸收律A+ABAA(A+B)A(4)分配律A(B+C)AB+ACA+BC(A+B)(A+C)(5)交換律A+BB+AABBA(6)結(jié)合律(A+B)+CA+(B+C)(AB)CA(BC)(7)反演律ABCA+B+C+A+B+C+ABC,1.1.3算術(shù)運(yùn)算的邏輯電路基礎(chǔ),1.一位加法電路全加器運(yùn)算器是計(jì)算機(jī)中直接執(zhí)行各種操作的裝置,其核心部件是加法電路。觀察圖1.6所示的算式(0.111+0.011)中對(duì)應(yīng)的第i位相加過(guò)程可以看出,加法運(yùn)算時(shí)某一位相加需要有下列5個(gè)變量:輸入:被加數(shù)Xi、加數(shù)Yi、低位進(jìn)位Ci-1輸出:本位進(jìn)位Ci、本位全和Si因此一個(gè)全加器應(yīng)有五個(gè)端口:三個(gè)輸入端,兩個(gè)輸出端。它的真值表如表1.7所示。,2.串行加法電路串行運(yùn)算加法器如圖1.8所示。它是由兩個(gè)n位的移位寄存器,一個(gè)全加器和一個(gè)(由D觸發(fā)器組成的)進(jìn)位觸發(fā)器所組成。寄存器A,B每接收一次移位脈沖,被加數(shù)和加數(shù)同時(shí)各右移一位,使得進(jìn)位觸發(fā)器中的前位進(jìn)位和A1及B1中的當(dāng)前位在中相加。每次相加之后得Si計(jì)入A寄存器最左端,本位進(jìn)位送給進(jìn)位觸發(fā)器C的輸入端D。下一次移位脈沖到來(lái)時(shí),進(jìn)位觸發(fā)器送給一個(gè)進(jìn)位。這樣經(jīng)過(guò)n次移位脈沖后,就完成了兩個(gè)n位二進(jìn)制數(shù)相加,最后結(jié)果存放在寄存器A中。,3.并行加法電路兩個(gè)n位二進(jìn)制數(shù)各位同時(shí)相加稱為并行加法。圖1.9為n位并行加法電路。它由n個(gè)全加器所組成。運(yùn)算時(shí)由兩個(gè)寄存器送來(lái)的n位數(shù)據(jù),分別在n個(gè)全加器中按位對(duì)應(yīng)相加;每個(gè)全加器得出的進(jìn)位依次向高一位傳送,從而得出每位的全加和。最后1個(gè)進(jìn)位Cn為計(jì)算機(jī)工作進(jìn)行判斷提供了一個(gè)測(cè)試標(biāo)態(tài),在某些情況下(如多字節(jié)運(yùn)算)還可以作為運(yùn)算的一個(gè)數(shù)據(jù)。,表1.1幾個(gè)十進(jìn)制數(shù)與二進(jìn)制數(shù)之間的對(duì)應(yīng)關(guān)系,返回,表1.2幾個(gè)典型數(shù)據(jù)的編碼,返回,圖1.1浮點(diǎn)數(shù)的機(jī)內(nèi)表示,圖1.2IEEE754格式的浮點(diǎn)數(shù),1位,m位,n位,返回,返回,返回,返回,返回,返回,圖1.5邏輯“非”,返回,返回,返回,(a)全加器的邏輯組合電路,(b)全加器的邏輯符號(hào),圖1.7全加器的邏輯組合電路及其符號(hào),返回,返回,返回,返回,

注意事項(xiàng)

本文(計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)概述(第一節(jié)).ppt)為本站會(huì)員(zhu****ei)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!