九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

課程設(shè)計(jì)(論文)基于單片機(jī)的直流伺服電機(jī)脈沖寬度調(diào)制控制系統(tǒng)

  • 資源ID:37045370       資源大小:517KB        全文頁(yè)數(shù):26頁(yè)
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

課程設(shè)計(jì)(論文)基于單片機(jī)的直流伺服電機(jī)脈沖寬度調(diào)制控制系統(tǒng)

單片機(jī)課程設(shè)計(jì)一.單片機(jī)簡(jiǎn)介1.1單片機(jī)的發(fā)展史單片機(jī)作為微型計(jì)算機(jī)的一個(gè)重要分支,應(yīng)用面很廣,發(fā)展很快。自單片機(jī)誕生至今以發(fā)展為上百種系列的近千個(gè)分支。如果將8位單片機(jī)的推出作為起點(diǎn),那么單片機(jī)的發(fā)展歷史大致可以分為以下幾個(gè)階段:(1)第一階段(19761978):?jiǎn)纹瑱C(jī)的控索階段。以Intel公司的MCS48的推出是在工控領(lǐng)域的控索,參與這一控索的公司還有Motorola、Zilog等,都取 得了滿意的效果。這就是SCM的誕生年代,“單片機(jī)”一詞由此而來。(2)第二階段(19781982):?jiǎn)纹瑱C(jī)的完善階段。Intel公司在MCS48的基礎(chǔ)上推出了完善的,典型的單片機(jī)系列MCS51.它在以下幾個(gè)方面奠定了典型的通用總線型單片機(jī)體系結(jié)構(gòu)。 完善的外部總線。MCS51設(shè)置了經(jīng)典的8位單片機(jī)的總線結(jié)構(gòu),包 括 8位數(shù)據(jù)總線16位地址總線控制總線及具有很多通信功能的串行通信接口。 CPU外圍功能單元的集中管理模式。 體現(xiàn)工控特性的位地址空間及位操作方式。 指令系統(tǒng)趨于豐富和完善,并且增加了許多突出控制功能的指令。(3)第三階段(19821990):8位單片機(jī)的鞏固發(fā)展及16位單片機(jī)的推出階段,也是單片機(jī)向微控制器發(fā)展的階段。Intel公司推出的MCS96系列單片機(jī),將一些用于測(cè)控系統(tǒng)的模數(shù)轉(zhuǎn)換器、程序運(yùn)行監(jiān)視器、脈寬調(diào)制器等納入片中,體現(xiàn)了單片機(jī)的微控制器的特征。隨著MCS51系列的廣泛應(yīng)用,許多電氣廠商競(jìng)相使用80C51為內(nèi)核,將許多測(cè)控系統(tǒng)中使用的電路技術(shù)、接口技術(shù)、多通道A/D轉(zhuǎn)換部件、可靠性技術(shù)等應(yīng)用到單片機(jī)中,增強(qiáng)了外圍電路的功能,強(qiáng)化了智能控制的特征。(4)第四階段(1990):微控制器的全面發(fā)展階段。隨著單片機(jī)在各個(gè)領(lǐng)域全面深入地發(fā)展和應(yīng)用,出現(xiàn)了高速、大尋址范圍、強(qiáng)運(yùn)算能力的8位/16位/32位通用型單片機(jī),以及小型廉價(jià)的專用型單片機(jī)。 1.2 AT89C51簡(jiǎn)介AT89C51是一種帶4K字節(jié)閃存可編程可擦除只讀存儲(chǔ)器(FPEROMFlash Programmable and Erasable Read Only Memory)的低電壓、高性能CMOS 8位微處理器,俗稱單片機(jī)。AT89C2051是一種帶2K字節(jié)閃存可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除1000次。該器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C51是一種高效微控制器,AT89C2051是它的一種精簡(jiǎn)版本。AT89C單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。1) 主要特性:a) 與MCS-51 兼容 b) 4K字節(jié)可編程閃爍存儲(chǔ)器 c) 壽命:1000寫/擦循環(huán)d) 數(shù)據(jù)保留時(shí)間:10年e) 全靜態(tài)工作:0Hz-24MHzf) 三級(jí)程序存儲(chǔ)器鎖定g) 1288位內(nèi)部RAMh) 32可編程I/O線i) 兩個(gè)16位定時(shí)器/計(jì)數(shù)器j) 5個(gè)中斷源 k) 可編程串行通道l) 低功耗的閑置和掉電模式m) 片內(nèi)振蕩器和時(shí)鐘電路2) 單片機(jī)內(nèi)部結(jié)構(gòu)圖:圖 1.13) AT89C51引腳圖:圖 1.24) 管腳說明: VCC:供電電壓。 GND:接地。 P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P1口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。 P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。 P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。 P3口也可作為AT89C51的一些特殊功能口,如下表所示: 口管腳 備選功能 P3.0 RXD(串行輸入口) P3.1 TXD(串行輸出口) P3.2 /INT0(外部中斷0) P3.3 /INT1(外部中斷1) P3.4 T0(記時(shí)器0外部輸入) P3.5 T1(記時(shí)器1外部輸入) P3.6 /WR(外部數(shù)據(jù)存儲(chǔ)器寫選通) P3.7 /RD(外部數(shù)據(jù)存儲(chǔ)器讀選通) P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。 RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。 ALE/PROG:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。 /PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。 /EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。 XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 XTAL2:來自反向振蕩器的輸出。 振蕩器特性: XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無任何要求,但必須保證脈沖的高低電平要求的寬度。二.硬件電路設(shè)計(jì)2.1硬件組成本系統(tǒng)由PC機(jī)、MCS-51單片機(jī)開發(fā)系統(tǒng)、PWM脈寬調(diào)制控制板以及直流伺服電動(dòng)機(jī)等組成。具體相關(guān)硬件如下:二極管(1N4077)4個(gè),場(chǎng)效應(yīng)管(2SJ50)4個(gè),非門74LS04 1個(gè),與門74LS08 2個(gè),電容(CAPACITOR) 2個(gè),芯片(AT89C51) 1個(gè),開關(guān)(BUTTON)3個(gè),直流伺服電動(dòng)機(jī)(MOTOR)1個(gè),電阻(RES)4個(gè),電源3個(gè),地(GROUND)4個(gè)。表2.1元件表硬件型號(hào)數(shù)量硬件型號(hào)數(shù)量1N40774MOTOR12SJ504RES474LS041CAPACITOR274LS082GROUND4AT89C511VCC3BUTTON32.2主要器件功能介紹2.2.1直流伺服電機(jī)簡(jiǎn)介伺服電機(jī)也稱執(zhí)行電機(jī),它具有一種服從控制信號(hào)的要求而動(dòng)作的電機(jī),在信號(hào)來到之前,轉(zhuǎn)子靜止不動(dòng);信號(hào)來到之后,轉(zhuǎn)子立即轉(zhuǎn)動(dòng);當(dāng)信號(hào)小時(shí),轉(zhuǎn)子能即使自行停轉(zhuǎn),由于這種“伺服”性能,因此而得名。按照在自動(dòng)控制系統(tǒng)中的功用所要求,伺服電機(jī)具備可控性好、穩(wěn)定性高和速應(yīng)性強(qiáng)等基本性能??煽刂菩院檬侵笇ず孟б院?,能立即自行停轉(zhuǎn);穩(wěn)定性高是指轉(zhuǎn)速隨轉(zhuǎn)矩的增加而均勻下降,速應(yīng)性強(qiáng)是指反應(yīng)快,靈敏。直流伺服電動(dòng)機(jī)在自動(dòng)控制系統(tǒng)中常用作執(zhí)行元件,對(duì)它的要求是要有下垂的機(jī)械特性、線性的調(diào)節(jié)特性和對(duì)控制信號(hào)能作出快速反應(yīng)。該系統(tǒng)采用的是電磁式直流伺服電動(dòng)機(jī),其型號(hào)為45SY01型,其轉(zhuǎn)速n的計(jì)算公式如下n=E/K=(Ua-IaRa)/K式中n為轉(zhuǎn)速;為磁通;E為電樞反電勢(shì);Ua為外加電壓;IaRa為電樞電流和電阻。直流伺服電機(jī)與普通直流電機(jī)以及交流伺服電機(jī)的比較:直流伺服電機(jī)的工作原理和普通直流電機(jī)相同。只要在其勵(lì)磁繞組中有電流通過且產(chǎn)生了磁通,當(dāng)電樞繞組中通過電流時(shí),這個(gè)電樞電流與磁通互相作用而產(chǎn)生轉(zhuǎn)矩使伺服電機(jī)投入工作。這兩個(gè)繞組其中的一個(gè)斷電時(shí),電動(dòng)機(jī)立即停轉(zhuǎn),它不象交流伺服電動(dòng)機(jī)那樣有“自轉(zhuǎn)”現(xiàn)象。所以我們選擇直流伺服電動(dòng)機(jī)來進(jìn)行自動(dòng)門的拖動(dòng)。2.2.2 PWM簡(jiǎn)介及調(diào)速原理(1)簡(jiǎn)介:PWM控制就是對(duì)脈沖的寬度進(jìn)行調(diào)制的技術(shù),即通過對(duì)一系列脈沖的寬度進(jìn)行調(diào)制,來等待地獲得所需要波形。PWM的一個(gè)優(yōu)點(diǎn)是從處理器到被控系統(tǒng)信號(hào)都是數(shù)字形式的,無需進(jìn)行數(shù)模轉(zhuǎn)換。讓信號(hào)保持在數(shù)字形式可將噪聲影響降到最小。PWM控制技術(shù)以其控制簡(jiǎn)單,靈活和動(dòng)態(tài)響應(yīng)好的優(yōu)點(diǎn)而成為電力電子技術(shù)最廣泛應(yīng)用的控制方式。(2)調(diào)速原理:占空比表示了在一個(gè)周期T里,開關(guān)管導(dǎo)通的時(shí)間與周期的比值。其變化范圍為01。在電源電壓不變的情況下,電樞的端電壓的平均值U取決于占空比的大小。改變其值就可以改變端電壓的平均值,從而達(dá)到調(diào)速的目的。在PWM調(diào)速時(shí),占空比是一個(gè)重要的參數(shù)。以下是3種方式都可以改變占空比的值圖 2.1計(jì)算公式:占空比=ton/Ta) 定寬調(diào)頻法b) 調(diào)寬調(diào)頻法c) 定頻調(diào)寬法目前,在直流伺服電機(jī)的控制中,主要使用定頻調(diào)寬法。(3)與V-M系統(tǒng)相比,PWM調(diào)速系統(tǒng)有下列優(yōu)點(diǎn):由于PWM調(diào)速系統(tǒng)的開關(guān)頻率較高,僅靠電樞電感的濾波作用可能就足以獲得脈沖動(dòng)很小的直流電流,電樞容易連續(xù),系統(tǒng)的低速運(yùn)行平穩(wěn),調(diào)速范圍較寬,可達(dá)1:10000左右。又由于電流波形比V-M系統(tǒng)好,在相同的平均電流即相同的輸出轉(zhuǎn)矩下,電動(dòng)機(jī)的損耗和發(fā)熱都較小。同樣由于開關(guān)頻率高,若與快速響應(yīng)的電機(jī)配合,系統(tǒng)可以獲得很寬的頻帶,因此快速響應(yīng)性能好,動(dòng)態(tài)抗干擾能力強(qiáng)。由于電力電子器件只工作在開關(guān)狀態(tài),主電路損耗較小,裝置效率比較高。2.2.3 二極管的應(yīng)用1) 整流二極管 利用二極管單向?qū)щ娦?,可以把方向交替變化的交流電變換成單一方向的脈動(dòng)直流電。2) 開關(guān)元件 二極管在正向電壓作用下電阻很小,處于導(dǎo)通狀態(tài),相當(dāng)于一只接通的開關(guān);在反向電壓作用下,電阻很大,處于截止?fàn)顟B(tài),如同一只斷開的開關(guān)。利用二極管的開關(guān)特性,可以組成各種邏輯電路。3) 限幅元件 二極管正向?qū)ê?,它的正向壓降基本保持不變(硅管?.7V,鍺管為0.2V)。利用這一特性,在電路中作為限幅元件,可以把信號(hào)幅度限制在一定范圍內(nèi)。4) 繼流二極管 在開關(guān)電源的電感中和繼電器等感性負(fù)載中起繼流作用。5) 檢波二極管 在收音機(jī)中起檢波作用。6) 變?nèi)荻O管 使用于電視機(jī)的高頻頭中。7) 顯示元件 用于電視機(jī)顯示器上。2.3 電路組成2.3.1 晶振電路圖2.3.1振電路圖,由兩個(gè)電容和一個(gè)晶振組成,晶振頻率為12MHZ圖2.22.3.2 復(fù)位電路圖2.3.2為復(fù)位電路圖,由直流電源,電容和電阻組成,其主要功能是對(duì)單片機(jī)進(jìn)行復(fù)位功能。圖2.32.3.3 單相橋式整流電路圖2.3.3是單相橋式整流電路圖,由4個(gè)場(chǎng)效應(yīng)管IGBT和四個(gè)二極管組成,其功能是將交流電轉(zhuǎn)化成直流電。其負(fù)載為伺服直流電機(jī),通過門控信號(hào)的改變可以調(diào)節(jié)電機(jī)的轉(zhuǎn)速和轉(zhuǎn)向圖2.42.3.4 調(diào)制電路圖2.3.4是調(diào)制電路圖,由兩個(gè)與門和一個(gè)非門組成,其功能主要是產(chǎn)生PWM脈沖來控制IGBT。圖2.5三.系統(tǒng)軟件設(shè)計(jì)3.1 Proteus介紹Proteus軟件是英國(guó)Labcenter electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。雖然目前國(guó)內(nèi)推廣剛起步,但已受到單片機(jī)愛好者、從事單片機(jī)教學(xué)的教師、致力于單片機(jī)開發(fā)應(yīng)用的科技工作者的青睞。Proteus是世界上著名的EDA工具(仿真軟件),從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電路協(xié)同仿真,一鍵切換到PCB設(shè)計(jì),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是目前世界上唯一將電路仿真軟件、PCB設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即將增加Cortex和DSP系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持IAR、Keil和MPLAB等多種編譯器。 3.1.2其功能特點(diǎn) Proteus軟件具有其它EDA工具軟件(例:multisim)的功能。這些功能是:(1)原理布圖;(2)PCB自動(dòng)或人工布線;(3)SPICE電路仿真。 革命性的特點(diǎn):(1)互動(dòng)的電路仿真 用戶甚至可以實(shí)時(shí)采用諸如RAM,ROM,鍵盤,馬達(dá),LED,LCD,AD/DA,部分SPI器件,部分IIC器件。 (2)仿真處理器及其外圍電路 可以仿真51系列、AVR、PIC、ARM、等常用主流單片機(jī)。還可以直接在基于原理圖的虛擬原型 上編程,再配合顯示及輸出,能看到運(yùn)行后輸入輸出的效果。配合系統(tǒng)配置的虛擬邏輯分析儀、示波器等,Proteus建立了完備的電子設(shè)計(jì)開發(fā)環(huán)境。 3.1.3具有4大功能模塊(1)智能原理圖設(shè)計(jì)(ISIS)豐富的器件庫(kù):超過27000種元器件,可方便地創(chuàng)建新元件:智能的器件搜索:通過模糊搜索可以快速定位所需要的器件;智能化的連線功能:自動(dòng)連線功能使連接導(dǎo)線簡(jiǎn)單快捷,大大縮短繪圖時(shí)間;支持總線結(jié)構(gòu):使用總線器件和總線布線使電路設(shè)計(jì)簡(jiǎn)明清晰;可輸出高質(zhì)量圖紙:通過個(gè)性化設(shè)置,可以生成印刷質(zhì)量的BMP圖紙,可以方便地供WORD、POWERPOINT等多種文檔使用。 (2)完善的電路仿真功能(Prospice) ProSPICE混合仿真:基于工業(yè)標(biāo)準(zhǔn)SPICE3F5,實(shí)現(xiàn)數(shù)字/模擬電路的混合仿真; 超過27000個(gè)仿真器件:可以通過內(nèi)部原型或使用廠家的SPICE文件自行設(shè)計(jì)仿真器件,Labcenter也在不斷地發(fā)布新的仿真器件,還可導(dǎo)入第三方發(fā)布的仿真器件;多樣的激勵(lì)源:包括直流、正弦、脈沖、分段線性脈沖、音頻(使用wav文件)、指數(shù)信號(hào)、單頻FM、數(shù)字時(shí)鐘和碼流,還支持文件形式的信號(hào)輸入;豐富的虛擬儀器:13種虛擬儀器,面板操作逼真,如示波器、邏輯分析儀、信號(hào)發(fā)生器、直流電壓/電流表、交流電壓/電流表、數(shù)字圖案發(fā)生器、頻率計(jì)/計(jì)數(shù)器、邏輯探頭、虛擬終端、SPI調(diào)試器、I2C調(diào)試器等;生動(dòng)的仿真顯示:用色點(diǎn)顯示引腳的數(shù)字電平,導(dǎo)線以不同顏色表示其對(duì)地電壓大小,結(jié)合動(dòng)態(tài)器件(如電機(jī)、顯示器件、按鈕)的使用可以使仿真更加直觀、生動(dòng);高級(jí)圖形仿真功能(ASF):基于圖標(biāo)的分析可以精確分析電路的多項(xiàng)指標(biāo),包括工作點(diǎn)、瞬態(tài)特性、頻率特性、傳輸特性、噪聲、失真、傅立葉頻譜分析等,還可以進(jìn)行一致性分析; (3)獨(dú)特的單片機(jī)協(xié)同仿真功能(VSM) 支持主流的CPU類型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU類型隨著版本升級(jí)還在繼續(xù)增加,如即將支持CORTEX、DSP處理器; 支持通用外設(shè)模型:如字符LCD模塊、圖形LCD模塊、LED點(diǎn)陣、LED七段顯示模塊、鍵盤/按鍵、直流/步進(jìn)/伺服電機(jī)、RS232虛擬終端、電子溫度計(jì)等等,其COMPIM(COM口物理接口模型)還可以使仿真電路通過PC機(jī)串口和外部電路實(shí)現(xiàn)雙向異步串行通信;實(shí)時(shí)仿真:支持UART/USART/EUSARTs仿真、中斷仿真、SPI/I2C仿真、MSSP仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真;編譯及調(diào)試:支持單片機(jī)匯編語(yǔ)言的編輯/編譯/源碼級(jí)仿真,內(nèi)帶8051、AVR、PIC的匯編編譯器,也可以與第三方集成編譯環(huán)境(如IAR、Keil和Hitech)結(jié)合,進(jìn)行高級(jí)語(yǔ)言的源碼級(jí)仿真和調(diào)試; (4)實(shí)用的PCB設(shè)計(jì)平臺(tái) 原理圖到PCB的快速通道: 原理圖設(shè)計(jì)完成后,一鍵便可進(jìn)入ARES的PCB設(shè)計(jì)環(huán)境,實(shí)現(xiàn)從概念到產(chǎn)品的完整設(shè)計(jì);先進(jìn)的自動(dòng)布局/布線功能:支持器件的自動(dòng)/人工布局;支持無網(wǎng)格自動(dòng)布線或人工布線;支持引腳交換/門交換功能使PCB設(shè)計(jì)更為合理;完整的PCB設(shè)計(jì)功能:最多可設(shè)計(jì)16個(gè)銅箔層,2個(gè)絲印層,4個(gè)機(jī)械層(含板邊),靈活的布線策略供用戶設(shè)置,自動(dòng)設(shè)計(jì)規(guī)則檢查,3D 可視化預(yù)覽;多種輸出格式的支持:可以輸出多種格式文件,包括Gerber文件的導(dǎo)入或?qū)С?,便利與其它PCB設(shè)計(jì)工具的互轉(zhuǎn)(如protel)和PCB板的設(shè)計(jì)和加工。 3.1.4 Proteus提供了豐富的資源(1)Proteus可提供的仿真元器件資源:仿真數(shù)字和模擬、交流和直流等數(shù)千種元器件,有30多個(gè)元件庫(kù)。 (2)Proteus可提供的仿真儀表資源 :示波器、邏輯分析儀、虛擬終端、SPI調(diào)試器、I2C調(diào)試器、信號(hào)發(fā)生器、模式發(fā)生器、交直流電壓表、交直流電流表。理論上同一種儀器可以在一個(gè)電路中隨意的調(diào)用。 (3)除了現(xiàn)實(shí)存在的儀器外,Proteus還提供了一個(gè)圖形顯示功能,可以將線路上變化的信號(hào),以圖形的方式實(shí)時(shí)地顯示出來,其作用與示波器相似,但功能更多。這些虛擬儀器儀表具有理想的參數(shù)指標(biāo),例如極高的輸入阻抗、極低的輸出阻抗。這些都盡可能減少了儀器對(duì)測(cè)量結(jié)果的影響。 (4)Proteus可提供的調(diào)試手段 Proteus提供了比較豐富的測(cè)試信號(hào)用于電路的測(cè)試。這些測(cè)試信號(hào)包括模擬信號(hào)和數(shù)字信號(hào)。 3.1.5 電路功能仿真 在PROTEUS繪制好原理圖后,調(diào)入已編譯好的目標(biāo)代碼文件:*.HEX,可以在PROTEUS的原理圖中看到模擬的實(shí)物運(yùn)行狀態(tài)和過程。 PROTEUS 是單片機(jī)課堂教學(xué)的先進(jìn)助手。 PROTEUS不僅可將許多單片機(jī)實(shí)例功能形象化,也可將許多單片機(jī)實(shí)例運(yùn)行過程形象化。前者可在相當(dāng)程度上得到實(shí)物演示實(shí)驗(yàn)的效果,后者則是實(shí)物演示實(shí)驗(yàn)難以達(dá)到的效果。 它的元器件、連接線路等卻和傳統(tǒng)的單片機(jī)實(shí)驗(yàn)硬件高度對(duì)應(yīng)。這在相當(dāng)程度上替代了傳統(tǒng)的單片機(jī)實(shí)驗(yàn)教學(xué)的功能,例:元器件選擇、電路連接、電路檢測(cè)、電路修改、軟件調(diào)試、運(yùn)行結(jié)果等。 課程設(shè)計(jì)、畢業(yè)設(shè)計(jì)是學(xué)生走向就業(yè)的重要實(shí)踐環(huán)節(jié)。由于PROTEUS提供了實(shí)驗(yàn)室無法相比的大量的元器件庫(kù),提供了修改電路設(shè)計(jì)的靈活性、提供了實(shí)驗(yàn)室在數(shù)量、質(zhì)量上難以相比的虛擬儀器、儀表,因而也提供了培養(yǎng)學(xué)生實(shí)踐精神、創(chuàng)造精神的平臺(tái) 隨著科技的發(fā)展,“計(jì)算機(jī)仿真技術(shù)”已成為許多設(shè)計(jì)部門重要的前期設(shè)計(jì)手段。它具有設(shè)計(jì)靈活,結(jié)果、過程的統(tǒng)一的特點(diǎn)??墒乖O(shè)計(jì)時(shí)間大為縮短、耗資大為減少,也可降低工程制造的風(fēng)險(xiǎn)。相信在單片機(jī)開發(fā)應(yīng)用中PROTEUS也能茯得愈來愈廣泛的應(yīng)用。 使用Proteus 軟件進(jìn)行單片機(jī)系統(tǒng)仿真設(shè)計(jì), 是虛擬仿真技術(shù)和計(jì)算機(jī)多媒體技術(shù)相結(jié)合的綜合運(yùn)用,有利于培養(yǎng)學(xué)生的電路設(shè)計(jì)能力及仿真軟件的操作能力;在單片機(jī)課程設(shè)計(jì)和全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽中,我們使用 Proteus 開發(fā)環(huán)境對(duì)學(xué)生進(jìn)行培訓(xùn),在不需要硬件投入的條件下,學(xué)生普遍反映,對(duì)單片機(jī)的學(xué)習(xí)比單純學(xué)習(xí)書本知識(shí)更容易接受,更容易提高。實(shí)踐證明,在使用 Proteus 進(jìn)行系統(tǒng)仿真開發(fā)成功之后再進(jìn)行實(shí)際制作,能極大提高單片機(jī)系統(tǒng)設(shè)計(jì)效率。因此,Proteus 有較高的推廣利用價(jià)值。 目前Proteus的最新版為7.7 sp2,今年將推出8.0版本,增加DSP系列及ARM cortex處理器3.2匯編語(yǔ)言簡(jiǎn)單介紹匯編語(yǔ)言(Assembly Language)是面向機(jī)器的程序設(shè)計(jì)語(yǔ)言.匯編語(yǔ)言是一種功能很強(qiáng)的程序設(shè)計(jì)語(yǔ)言,也是利用計(jì)算機(jī)所有硬件特性并能直接控制硬件的語(yǔ)言。匯編語(yǔ)言”作為一門語(yǔ)言,對(duì)應(yīng)于高級(jí)語(yǔ)言的編譯器,需要一個(gè)“匯編器”來把匯編語(yǔ)言原文件匯編成機(jī)器可執(zhí)行的代碼。高級(jí)的匯編器如MASM, TASM等等為我們寫匯編程序提供了很多類似于高級(jí)語(yǔ)言的特征,比如結(jié)構(gòu)化、抽象等。在這樣的環(huán)境中編寫的匯編程序,有很大一部分是面向匯編器的偽指令,已經(jīng)類同于高級(jí)語(yǔ)言?,F(xiàn)在的匯編環(huán)境已經(jīng)如此高級(jí),即使全部用匯編語(yǔ)言來編寫windows的應(yīng)用程序也是可行的,但這不是匯編語(yǔ)言的長(zhǎng)處。匯編語(yǔ)言的長(zhǎng)處在于編寫高效且需要對(duì)機(jī)器硬件精確控制的程序。 在匯編語(yǔ)言中,用助記符(Memoni)代替操作碼,用地址符號(hào)(Symbol)或標(biāo)號(hào)(Label)代替地址碼。這樣用符號(hào)代替機(jī)器語(yǔ)言的二進(jìn)制碼,就把機(jī)器語(yǔ)言變成了匯編語(yǔ)言。因此匯編語(yǔ)言亦稱為符號(hào)語(yǔ)言。使用匯編語(yǔ)言編寫的程序,機(jī)器不能直接識(shí)別,要由一種程序?qū)R編語(yǔ)言翻譯成機(jī)器語(yǔ)言,這種起翻譯作用的程序叫匯編程序,匯編程序是系統(tǒng)軟件中語(yǔ)言處理系統(tǒng)軟件。匯編語(yǔ)言把匯編程序翻譯成機(jī)器語(yǔ)言的過程稱為匯編。 匯編語(yǔ)言比機(jī)器語(yǔ)言易于讀寫、調(diào)試和修改,同時(shí)具有機(jī)器語(yǔ)言全部?jī)?yōu)點(diǎn)。但在編寫復(fù)雜程序時(shí),相對(duì)高級(jí)語(yǔ)言代碼量較大,而且匯編語(yǔ)言依賴于具體的處理器體系結(jié)構(gòu),不能通用,因此不能直接在不同處理器體系結(jié)構(gòu)之間移植。匯編語(yǔ)言的特點(diǎn): .面向機(jī)器的低級(jí)語(yǔ)言,通常是為特定的計(jì)算機(jī)或系列計(jì)算機(jī)專門設(shè)計(jì)的。 .保持了機(jī)器語(yǔ)言的優(yōu)點(diǎn),具有直接和簡(jiǎn)捷的特點(diǎn)。 .可有效地訪問、控制計(jì)算機(jī)的各種硬件設(shè)備,如磁盤、存儲(chǔ)器、CPU、I/O端口等。 .目標(biāo)代碼簡(jiǎn)短,占用內(nèi)存少,執(zhí)行速度快,是高效的程序設(shè)計(jì)語(yǔ)言。 .經(jīng)常與高級(jí)語(yǔ)言配合使用,應(yīng)用十分廣泛。3.3 WAVE系統(tǒng)簡(jiǎn)介 3.3.1 wave偉福系統(tǒng)的使用1.文本編輯器的使用在偉福編輯器中,可以編輯C語(yǔ)言、匯編語(yǔ)言、PLM語(yǔ)言程序,方法如下:(1)調(diào)整主窗口中各窗口的邊緣線,可以將編輯窗口調(diào)整至最大;(2)在編輯框中可像一般的文本編輯軟件一樣編輯程序。并能通過復(fù)制、剪貼、粘貼等功能對(duì)程序進(jìn)行修改。注意:源程序除可以在WAVE6000的編譯環(huán)境下編輯、錄入,還可以在WORD、記事本或?qū)懽职瀛h(huán)境下錄入、編輯和修改,并拷貝到偉福編輯器中進(jìn)行編譯,但在編譯前須將文件存為“*.asm”的格式。2程序的編譯在程序運(yùn)行之前,應(yīng)先對(duì)程序進(jìn)行編譯,方法為:(1) 進(jìn)入【項(xiàng)目】菜單,選擇【編譯】項(xiàng),對(duì)程序進(jìn)行編譯。(2) 按F9鍵,對(duì)程序進(jìn)行編譯。(3) 在工具條中選擇【編譯(F9)】按鈕 ,對(duì)程序進(jìn)行編譯。3程序的運(yùn)行(1) 在【執(zhí)行】菜單中選擇【全速執(zhí)行】,可執(zhí)行整個(gè)程序。(2) 按Ctrl+F9,也可全速執(zhí)行程序。(3) 選擇工具欄中的全速執(zhí)行(Ctrl+F9)按鈕 ,也可執(zhí)行程序。(4) 也可按說明書中選擇斷點(diǎn)執(zhí)行方式和單步執(zhí)行方式。注意:上機(jī)過程中應(yīng)體會(huì)單步、跟蹤、設(shè)置斷點(diǎn)、程序運(yùn)行至光標(biāo)處(按F4)、全速運(yùn)行等軟件調(diào)試方法的功能和特點(diǎn)。4計(jì)算機(jī)與單片機(jī)實(shí)驗(yàn)儀的連接運(yùn)行將計(jì)算機(jī)與單片機(jī)實(shí)驗(yàn)儀連接,可直接將已編輯好的程序編譯成機(jī)器碼,通過串行口傳輸給單片機(jī)實(shí)驗(yàn)儀,并可運(yùn)行程序。(1) 用9芯串行線連接計(jì)算機(jī)的串行口(COM1或COM2)和單片機(jī)實(shí)驗(yàn)箱的仿真器串口連接;(2) 連接實(shí)驗(yàn)儀的電源,打開實(shí)驗(yàn)儀的電源;(3) 連接計(jì)算機(jī)的電源,打開計(jì)算機(jī)電源;(4) 設(shè)置仿真器的各設(shè)置項(xiàng),連接成功后在窗口的左上角的【仿真器設(shè)置】按鈕上將出現(xiàn)一個(gè)綠色的“” 。 (5) 編寫程序或調(diào)入事先編輯好的程序文件,按F9鍵進(jìn)行編譯程序。按Ctrl+F9執(zhí)行程序。3.3.2 WAVE的開發(fā)環(huán)境WAVE仿真器有兩種版本:DOS版本和Windows版本。中文界面,英文界面可任選,并支持ASM,C,PLM語(yǔ)言混合編程,具有項(xiàng)目管理功能,為用戶的資源共享,課題重組提供強(qiáng)有力的手段。有豐富的窗口顯示方式,能多方位,動(dòng)態(tài)地顯示仿真的各種過程??刹捎秒p工作模式: 軟件模擬仿真(不要仿真器也能模擬仿真)和硬件仿真。由于編輯、編譯、下載、調(diào)試全部集中在一個(gè)環(huán)境下,為使用者提供了一個(gè)全集成環(huán)境,統(tǒng)一的界面,包含一個(gè)項(xiàng)目管理器,一個(gè)功能強(qiáng)大的編輯器,匯編Make、Build和調(diào)試工具并提供一個(gè)與第三方編譯器的接口,為用戶提供了方便。WAVE仿真器具有強(qiáng)大的邏輯分析儀綜合調(diào)試功能,通過交互式軟件菜單窗口對(duì)系統(tǒng)硬件的邏輯或時(shí)序進(jìn)行同步實(shí)時(shí)采樣,并能實(shí)時(shí)在線調(diào)試分析。3.3.3 WAVE仿真器的界面WAVE的窗口可根據(jù)選擇主要功能如下:1 項(xiàng)目窗口 可對(duì)項(xiàng)目進(jìn)行管理。2 信息窗口 顯示系統(tǒng)編譯輸出的信息。如果程序有錯(cuò),會(huì)以圖標(biāo)形式指出, 表示錯(cuò)誤, 表示警告, 表示通過在編譯信息行會(huì)有相關(guān)的生成文件,雙擊鼠標(biāo)左鍵,或單擊右鍵在彈出菜單中選擇“打開”功能,可以打開相關(guān)文件。如果有編譯錯(cuò)誤,雙擊左鍵,可以在源程序中指出錯(cuò)誤所在行。3 CPU窗口 CPU窗口,可給出機(jī)器碼及反匯編程序,可以讓你更清楚地了解程序執(zhí)行過程。4 數(shù)據(jù)窗口 51系列有以下四種數(shù)據(jù)窗口:1) DATA 內(nèi)部數(shù)據(jù)窗口。在內(nèi)部數(shù)據(jù)窗口中可以看到CPU內(nèi)部的數(shù)據(jù)值,紅色的為上一步執(zhí)行過程中,改變過的值,窗口狀態(tài)欄中為選中數(shù)據(jù)的地址,可以在選中的數(shù)據(jù)上直接修改數(shù)據(jù)的十六進(jìn)制值,也可以用彈出菜單的修改功能,修改選中的數(shù)據(jù)值。數(shù)據(jù)窗口通過項(xiàng)目窗口下方的DATA選項(xiàng)激活。2) CODE 程序數(shù)據(jù)窗口;程序數(shù)據(jù)窗口顯示的是編譯后程序碼,狀態(tài)欄顯示的是選中數(shù)據(jù)的地址,可以對(duì)在選中數(shù)據(jù)上直接修改程序數(shù)據(jù)的十六進(jìn)制值,也可以對(duì)程序數(shù)據(jù)進(jìn)行“塊填充”,“塊移動(dòng)”操作,也可以讀入一段二進(jìn)制代碼插入程序數(shù)據(jù)中,也可以將程序數(shù)據(jù)中的某段代碼寫文件中。3) XDATA 外部數(shù)據(jù)窗口。通過數(shù)據(jù)窗口可觀察程序運(yùn)行過程中數(shù)據(jù)單元中的內(nèi)容。4) PDATA 外部數(shù)據(jù)窗口(頁(yè)方式)。WAVE的窗口較多,可根據(jù)不同的需要進(jìn)行選擇。四.系統(tǒng)軟件設(shè)計(jì)4.1系統(tǒng)簡(jiǎn)介該課設(shè)是基于單片機(jī)利用脈沖寬度調(diào)制來控制伺服直流電動(dòng)機(jī)的轉(zhuǎn)速以及轉(zhuǎn)向,是一個(gè)典型的控制系統(tǒng)。脈沖寬度調(diào)制主要是改變脈沖信號(hào)的占空比來實(shí)現(xiàn)控制的。當(dāng)增加脈沖的占空比,伺服直流電動(dòng)機(jī)轉(zhuǎn)速增加;反之,其速度降低。所以通過控制脈沖的占空比可以控制伺服直流電動(dòng)機(jī)的轉(zhuǎn)速。4.2系統(tǒng)設(shè)計(jì)原理4.2.1正反轉(zhuǎn)控制原理該系統(tǒng)中利用開關(guān)K3控制伺服直流電動(dòng)機(jī)的正反轉(zhuǎn)。當(dāng)開關(guān)閉合時(shí)既輸入信號(hào)為1,通過單片機(jī)編程處理后,控制電動(dòng)機(jī)的正轉(zhuǎn);反之,控制電動(dòng)機(jī)的反轉(zhuǎn)。實(shí)現(xiàn)該功能的子程序?yàn)椋篖OOP: JB K3,LOOPZF CLR ZF LJMP LOOPK1LOOPZF: SETB ZF4.2.2加速控制原理該系統(tǒng)中利用開關(guān)K1控制伺服直流電動(dòng)機(jī)的加速。當(dāng)開關(guān)閉合時(shí)既輸入信號(hào)為1,通過單片機(jī)編程處理后,增加控制脈沖的占空比,從而增大了電動(dòng)機(jī)兩側(cè)的電壓,使伺服直流電動(dòng)機(jī)加速;反之,電動(dòng)機(jī)保持勻速轉(zhuǎn)動(dòng)。實(shí)現(xiàn)該功能的子程序?yàn)椋篖OOPK1: JB K1,LOOPK2 LCALL DELAY MOV A,PWML ADD A,#1 MOV PWML,A MOV A,PWMH ADDC A,#0 MOV PWMH,A JNC LOOPK2 MOV PWMH,#0FFH4.2.3減速控制原理該系統(tǒng)中利用開關(guān)K2控制伺服直流電動(dòng)機(jī)的加速。當(dāng)開關(guān)閉合時(shí)既輸入信號(hào)為1,通過單片機(jī)編程處理后,減少控制脈沖的占空比,從而減小了電動(dòng)機(jī)兩側(cè)的電壓,使伺服直流電動(dòng)機(jī)減速;反之,電動(dòng)機(jī)保持勻速轉(zhuǎn)動(dòng)。實(shí)現(xiàn)該功能的子程序?yàn)椋篖OOPK2: JB K2,OVER LCALL DELAY MOV A,PWML CLR C SUBB A,#1 MOV PWML,A MOV A,PWMH SUBB A,#0 MOV PWMH,A JNC OVER MOV PWMH,#00H 4.3系統(tǒng)原理圖下圖是系統(tǒng)的原理圖,圖中單片機(jī)AT89C51,其主要功能就是將開關(guān)的模擬信號(hào)轉(zhuǎn)化成數(shù)字信號(hào),并通過固定程序,通過對(duì)信號(hào)的識(shí)別,輸出相應(yīng)的控制信號(hào)。系統(tǒng)圖的最右側(cè)是采用IGBT作為開關(guān)器件的單相橋式PWM逆變電路。以電動(dòng)機(jī)作為負(fù)載,工作時(shí)Q1,Q3的通斷狀態(tài)互補(bǔ),Q2,Q4的通斷狀態(tài)也互補(bǔ)。PWM逆變電路中間是調(diào)制電路,輸入信號(hào)分別是信號(hào)波和載波,輸出的信號(hào)分別送至4個(gè)IGBT的門極,對(duì)其控制。圖 4.14.4 仿真結(jié)果圖下圖是系統(tǒng)的仿真圖,圖中左下方是三個(gè)控制開關(guān),分別控制直流伺服電動(dòng)機(jī)的正反轉(zhuǎn),加減速。開關(guān)按下時(shí),是高電平信號(hào),開關(guān)開時(shí),是低電平信號(hào)(信號(hào)為高電平時(shí)有效)。開關(guān)右側(cè)的單片機(jī)AT89C51,其主要功能就是將開關(guān)的模擬信號(hào)轉(zhuǎn)化成數(shù)字信號(hào),并通過固定程序,通過對(duì)信號(hào)的識(shí)別,輸出相應(yīng)的控制信號(hào)。系統(tǒng)圖的最右側(cè)是采用IGBT作為開關(guān)器件的單相橋式PWM逆變電路。以電動(dòng)機(jī)作為負(fù)載,工作時(shí)Q1,Q3的通斷狀態(tài)互補(bǔ),Q2,Q4的通斷狀態(tài)也互補(bǔ)。PWM逆變電路下方是調(diào)制電路,輸入信號(hào)分別是信號(hào)波和載波,輸出的信號(hào)分別送至4個(gè)IGBT的門極,對(duì)其控制。圖4.2總 結(jié)通過這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。而且學(xué)到了很多在書本上所沒有學(xué)到過的知識(shí)。通過這次設(shè)計(jì),進(jìn)一步加深了對(duì)單片機(jī)的了解,掌握單片機(jī)的基本內(nèi)容和基本操作。讓我對(duì)它有了更加濃厚的興趣,會(huì)更加注重單片機(jī)在日常生活中的運(yùn)用。雖然在設(shè)計(jì)的過程中遇到不少問題,但是在與老師,同學(xué)的交流中不僅解決了問題,還有了更深的體會(huì)。在設(shè)計(jì)的過程中更是發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠牢固,這些都是自己以后學(xué)習(xí)應(yīng)該注意的問題本設(shè)計(jì)的中心思想就是結(jié)合各課的知識(shí),來完成一個(gè)綜合的設(shè)計(jì)。通過單片機(jī)產(chǎn)生PWM脈沖,來控制單相橋式整流電路,從而實(shí)現(xiàn)了對(duì)直流伺服電動(dòng)機(jī)的調(diào)速控制。在今后的學(xué)習(xí)中,我不但要將理論知識(shí)學(xué)好,還要將其應(yīng)用到實(shí)踐,并且將各科知識(shí)聯(lián)系起來應(yīng)用。參考文獻(xiàn)【1】何立民。MCS-51系列單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)M。北京:航空航天大學(xué)出版社,1991【2】李群芳 單片微機(jī)計(jì)算機(jī)與接口技術(shù)(M)北京。電子工業(yè)出版社,2008【3】余國(guó)衛(wèi) 單片微機(jī)計(jì)算機(jī)與接口技術(shù)實(shí)驗(yàn)指導(dǎo)書26

注意事項(xiàng)

本文(課程設(shè)計(jì)(論文)基于單片機(jī)的直流伺服電機(jī)脈沖寬度調(diào)制控制系統(tǒng))為本站會(huì)員(1777****777)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!