九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字時(shí)鐘的設(shè)計(jì)畢業(yè)論文

  • 資源ID:37291583       資源大?。?span id="24d9guoke414" class="font-tahoma">746.02KB        全文頁數(shù):30頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)字時(shí)鐘的設(shè)計(jì)畢業(yè)論文

安 徽 工 業(yè) 大 學(xué) 工 商 學(xué) 院Industrial & Commercial College,Anhui University of Technology畢業(yè)論文(設(shè)計(jì))題目: 數(shù)字時(shí)鐘的設(shè)計(jì) 院( 系): 自動(dòng)化系 專業(yè)年級(jí): 自 0842 Q Q: 學(xué) 號(hào): 指導(dǎo)教師: 原原 創(chuàng)創(chuàng) 性性 聲聲 明明本人鄭重聲明:本人所呈交的畢業(yè)論文,是在指導(dǎo)老師的指導(dǎo)下獨(dú)立進(jìn)行研究所取得的成果。畢業(yè)論文中凡引用他人已經(jīng)發(fā)表或未發(fā)表的成果、數(shù)據(jù)、觀點(diǎn)等,均已明確注明出處。除文中已經(jīng)注明引用的內(nèi)容外,不包含任何其他個(gè)人或集體已經(jīng)發(fā)表或撰寫過的科研成果。對(duì)本文的研究成果做出重要貢獻(xiàn)的個(gè)人和集體,均已在文中以明確方式標(biāo)明。本聲明的法律責(zé)任由本人承擔(dān)。論文作者簽名: 日 期: 安徽工業(yè)大學(xué)工商學(xué)院I摘要摘要: : 加入世貿(mào)組織后,中國(guó)將面臨激烈的競(jìng)爭(zhēng)。這場(chǎng)比賽將是一場(chǎng)科技實(shí)力,管理水平和人才素質(zhì)的較量,風(fēng)險(xiǎn)和機(jī)遇并存,及電子產(chǎn)品的發(fā)展變化迅速,不僅在通信技術(shù)的數(shù)字替代模擬信號(hào),甚至在我們?nèi)粘5纳钭寯?shù)字化取締.相比模擬鐘能給人一種一目了然的感覺,它不僅可以顯示在同一時(shí)間,時(shí)、分和秒,并且可以完成準(zhǔn)確的校正。同時(shí),數(shù)字時(shí)鐘可以準(zhǔn)確的時(shí)間,你的時(shí)間精確到報(bào)時(shí)的聲音,提醒你在這個(gè)時(shí)候,需要做的事情。老式時(shí)鐘比它更適合現(xiàn)代生活。一個(gè)數(shù)字時(shí)鐘振蕩器,計(jì)數(shù)器,譯碼器和顯示器電路精確時(shí)間“小時(shí)”“分”“秒”與數(shù)字顯示,并需要校正電路,使其準(zhǔn)確的工作,也可有定時(shí)和計(jì)時(shí)功能。數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非常現(xiàn)實(shí)的意義。在本文中,multisim10.0 的基礎(chǔ)上設(shè)計(jì)的數(shù)字鐘,由數(shù)字集成電路,數(shù)碼顯示。關(guān)鍵詞關(guān)鍵詞: : 數(shù)字鐘 振蕩器 計(jì)數(shù)器 譯碼顯示 仿真安徽工業(yè)大學(xué)工商學(xué)院IIAbstract:Abstract: After joining the WTO, China will face the fierce competition. This competition will be a scientific and technological strength, management quality and talent quality of the contest, risks and opportunities coexist, and electronic product development change rapidly, not only in the communication technology digital replaced in the analog signal, even in our daily life to let the digital clamp. compared with the analog clock can give a person a kind of stick out a mile feeling, not only that it can be displayed at the same time, seconds. And when, minutes and seconds, accurate correction, this is not ordinary clock. At the same time the digital clock can be accurately timed, in you the time accurate to a timekeeping voices, to remind you at this time and needs to be done. The old-fashioned clock compared to it more suitable for modern life. a digital clock to the oscillator, counter, decoder and display circuit accurately time hours minutes seconds with digital display, and the need for correction circuit make its accurate work, also can have from time to time and timekeeping function. Digital clock and the expansion of its application, has very realistic significance. In this paper, the Multisim10.0 based on the design of the digital clock, is composed of a digital integrated circuit, digital display.KeyKey words:words: Digital clock oscillators counter decoding display simulation目 錄摘 要.ABSTRACT.第 1 章 緒 論.11.1 數(shù)字時(shí)鐘的背景和意義.11.2 數(shù)字時(shí)鐘設(shè)計(jì)思路.11.3 數(shù)字時(shí)鐘的主要內(nèi)容.1第 2 章 數(shù)字時(shí)鐘模塊設(shè)計(jì).22.1 數(shù)字時(shí)鐘秒脈沖信號(hào)的設(shè)計(jì).22.1.1 秒時(shí)鐘信號(hào)發(fā)生器的設(shè)計(jì).22.1.2 秒時(shí)鐘電路的設(shè)計(jì).32.1.3 分時(shí)鐘電路的設(shè)計(jì).42.2 二十四進(jìn)制計(jì)數(shù)器設(shè)計(jì).4第 3 章 校時(shí)電路.1第 4 章 整點(diǎn)報(bào)時(shí)電路.1第 5 章 鬧鐘電路.1結(jié) 論.1致 謝.1參考文獻(xiàn).1安徽工業(yè)大學(xué)工商學(xué)院1緒論緒論數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、時(shí)間程序自動(dòng)控制、定時(shí)廣播。而且與傳統(tǒng)的機(jī)械鐘相比,它具有走時(shí)準(zhǔn)確、顯示直觀、無機(jī)械傳動(dòng)、無需人的經(jīng)常調(diào)整等優(yōu)點(diǎn)。數(shù)字鐘的設(shè)計(jì)涉及到模擬電子與數(shù)字電子技術(shù),其中絕大部分是數(shù)字部分、邏輯門電路、數(shù)字邏輯表達(dá)式、計(jì)算真值表與邏輯函數(shù)間的關(guān)系、編碼器、譯碼器顯示等基本原理?,F(xiàn)在主要用各種芯片實(shí)現(xiàn)其功能,更加方便和準(zhǔn)確。Multisim10.0 作為一種高效的設(shè)計(jì)與仿真平臺(tái)。其強(qiáng)大的虛擬儀器庫和軟件仿真功能,為電路設(shè)計(jì)提供了先進(jìn)的設(shè)計(jì)理念和方法。1. 設(shè)計(jì)思路設(shè)計(jì)思路1).由秒時(shí)鐘信號(hào)發(fā)生器、計(jì)時(shí)電路和校時(shí)電路構(gòu)成電路。2).秒時(shí)鐘信號(hào)發(fā)生器可由 555 定時(shí)器構(gòu)成。3).計(jì)時(shí)電路中采用兩個(gè) 60 進(jìn)制計(jì)數(shù)器分別完成秒計(jì)時(shí)和分計(jì)時(shí);24 進(jìn)制計(jì)數(shù)器完成時(shí)計(jì)時(shí);采用譯碼器將計(jì)數(shù)器的輸出譯碼后送七段數(shù)碼管顯示。4).校時(shí)電路采用開關(guān)控制時(shí)、分、秒計(jì)數(shù)器的時(shí)鐘信號(hào)為校時(shí)脈沖以完成校時(shí)。2. 主要內(nèi)容主要內(nèi)容熟悉 Multisim10.0 仿真軟件的應(yīng)用;設(shè)計(jì)一個(gè)具有顯示、校時(shí)、整點(diǎn)報(bào)時(shí)和定時(shí)功能的數(shù)字時(shí)鐘,.能獨(dú)立完成整個(gè)系統(tǒng)的設(shè)計(jì);用 Multisim10.0 仿真實(shí)現(xiàn)數(shù)字時(shí)鐘的功能。數(shù)碼管顯示數(shù)碼管顯示數(shù)碼管顯示譯碼器譯碼器譯碼器時(shí)計(jì)數(shù)器分計(jì)數(shù)器秒計(jì)數(shù)器校時(shí)電路秒信號(hào)發(fā)生器整點(diǎn)報(bào)時(shí)鬧鐘安徽工業(yè)大學(xué)工商學(xué)院2設(shè)計(jì)原理方框圖安徽工業(yè)大學(xué)工商學(xué)院3第第 2 2 章、數(shù)字時(shí)鐘模塊設(shè)計(jì)章、數(shù)字時(shí)鐘模塊設(shè)計(jì)數(shù)字時(shí)鐘電路主要由時(shí)、分、秒三部分組成,秒時(shí)鐘電路主要由秒脈沖信號(hào)發(fā)生器、計(jì)數(shù)器、譯碼器、數(shù)碼管組成,秒計(jì)數(shù)周期 60s。同樣分時(shí)鐘電路由計(jì)數(shù)器、譯碼器、數(shù)碼管組成,計(jì)數(shù)周期為 60m,與秒時(shí)鐘電路不同的是脈沖信號(hào)由秒時(shí)鐘電路提供。時(shí)時(shí)鐘電路采用同樣的設(shè)計(jì),計(jì)數(shù)周期為 24h。2.12.1 數(shù)字時(shí)鐘秒脈沖信號(hào)的設(shè)計(jì)數(shù)字時(shí)鐘秒脈沖信號(hào)的設(shè)計(jì)2.1.12.1.1 秒時(shí)鐘信號(hào)發(fā)生器的設(shè)計(jì)秒時(shí)鐘信號(hào)發(fā)生器的設(shè)計(jì)振蕩器可由晶振組成,也可以由 555 與 RC 組成的多諧振蕩器。由 555 定時(shí)器得到 1Hz 的脈沖,功能主要是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號(hào)和提供功能擴(kuò)展電路所需要的信號(hào)。由 555 定時(shí)器構(gòu)成的 1Hz 秒時(shí)鐘信號(hào)發(fā)生器。下面的電路圖產(chǎn)生 1Hz 的脈沖信號(hào)作為總電路的初輸入時(shí)鐘脈沖。由 555 定時(shí)器得到 1Hz 的脈沖,功能主要是產(chǎn)生標(biāo)準(zhǔn)秒脈沖信號(hào)和提供功能擴(kuò)展電路所需要的信號(hào)。脈沖信號(hào)發(fā)生器安徽工業(yè)大學(xué)工商學(xué)院4利用NE555多諧振蕩器,優(yōu)點(diǎn):555內(nèi)部的比較器靈敏度較高,而且采用差分電路形式,它的振蕩頻率受電源電壓和溫度變化的影響很小。缺點(diǎn):要精確輸出1Hz脈沖,對(duì)電容和電阻的數(shù)值精度要求很高,所以輸出脈沖既不夠準(zhǔn)確也不夠穩(wěn)定。2.2 器件分析器件分析2.2.12.2.1 74LS16074LS160 分析分析在數(shù)字鐘的控制電路中,分和秒的控制都是一樣的,都是由一個(gè)十進(jìn)制計(jì)數(shù)器和一個(gè)六進(jìn)制計(jì)數(shù)器串聯(lián)而成的,在電路的設(shè)計(jì)中我采用的是統(tǒng)一的器件74LS160D 的反饋置數(shù)法來實(shí)現(xiàn)十進(jìn)制功能和六進(jìn)制功能,根據(jù) 74LS160D 的結(jié)構(gòu)把輸出端的 0110(十進(jìn)制為 6)用一個(gè)與非門 74LS00 引到 CLR 端便可置 0,這樣就實(shí)現(xiàn)了六進(jìn)制計(jì)數(shù)。由兩片十進(jìn)制同步加法計(jì)數(shù)器74LS160級(jí)聯(lián)產(chǎn)生,采用的是異步清零法。安徽工業(yè)大學(xué)工商學(xué)院5同樣,在輸出端的 1001(十進(jìn)制為 9)用一個(gè)與非門 74LS00 引到 Load 端便可置 0,這樣就實(shí)現(xiàn)了十進(jìn)制計(jì)數(shù)。在分和秒的進(jìn)位時(shí),用秒計(jì)數(shù)器的 Load端接分計(jì)數(shù)器的 CLK 控制時(shí)鐘脈沖,脈沖在上升沿來時(shí)計(jì)數(shù)器開始計(jì)數(shù)。時(shí)計(jì)數(shù)器可由兩個(gè)十進(jìn)制計(jì)數(shù)器串接并通過反饋接成二十四制計(jì)數(shù)器。由計(jì)數(shù)器得到的 4 位二進(jìn)制碼的必須通過譯碼后轉(zhuǎn)為人們習(xí)慣的數(shù)字顯示。如 12:54:30 的二進(jìn)制碼為 00010010:01010100:00110000。秒信號(hào)經(jīng)秒計(jì)數(shù)器、分計(jì)數(shù)器、時(shí)計(jì)數(shù)器之后,分別得到“秒”個(gè)位、十位、“分”個(gè)位、十位以及“時(shí)”個(gè)位、十位的計(jì)時(shí)輸出信號(hào),然后送至顯示電路,以便實(shí)現(xiàn)用數(shù)字顯示時(shí)、分、秒的要求?!懊搿焙汀胺帧庇?jì)數(shù)器應(yīng)為六十進(jìn)制,而“時(shí)”計(jì)數(shù)器應(yīng)為二十四進(jìn)制。采用10進(jìn)制計(jì)數(shù)器74LS160來實(shí)現(xiàn)時(shí)間計(jì)數(shù)單元的計(jì)數(shù)功能。安徽工業(yè)大學(xué)工商學(xué)院62.2.2 74LS85特性分析特性分析74LS85為4位數(shù)值比較器,共有54/7485、54/74S85、54/74LS85 三種線路結(jié)構(gòu)型式,74LS85 可進(jìn)行二進(jìn)制碼和BCD碼的比較,對(duì)兩個(gè)4 位字的比較結(jié)果由三個(gè)輸出端FA B,F(xiàn)AB,F(xiàn)AB輸出。將若干 85 級(jí)聯(lián)可比較較長(zhǎng)的字,此時(shí)低級(jí)位的FAB,F(xiàn)AB,F(xiàn)AB連接到高位級(jí)相應(yīng)的輸入AB、AB、AB,并使低位級(jí)的AB為高電平。引出端符號(hào):B0B3字B輸入端A0A3字A輸入端AB AB級(jí)聯(lián)輸入端AB AB級(jí)聯(lián)輸入端AB AB級(jí)聯(lián)輸入端FABA等于B輸出端FABA大于B輸出端FABA小于B輸出端安徽工業(yè)大學(xué)工商學(xué)院72.3.1 六十進(jìn)制計(jì)數(shù)器六十進(jìn)制計(jì)數(shù)器對(duì)于 74LS160 計(jì)數(shù),如圖所示,分、秒計(jì)數(shù)電路由 U3 和 U4 倆部分組成。當(dāng)時(shí)十位 U4 計(jì)數(shù)為 5,U3 計(jì)數(shù)為 5 時(shí),兩片 74LS160,再加上一片 74LS13,從而構(gòu)成 60 進(jìn)制計(jì)數(shù)。六十進(jìn)制計(jì)數(shù)器安徽工業(yè)大學(xué)工商學(xué)院82.3 二十四進(jìn)制計(jì)數(shù)器二十四進(jìn)制計(jì)數(shù)器時(shí)計(jì)時(shí)電路與分、秒計(jì)時(shí)電路相比,首先就是觸發(fā)信號(hào)來源于分計(jì)時(shí)電路的進(jìn)位,其計(jì)時(shí)范圍為0-23。故在前面的基礎(chǔ)上只需修改及時(shí)范圍即可。如圖所示,時(shí)計(jì)數(shù)電路由 U3 和 U4 倆部分組成。當(dāng)時(shí)個(gè)位 U4 計(jì)數(shù)為 4,U3 計(jì)數(shù)為 2 時(shí),兩片 74LS160復(fù)零,從而構(gòu)成 24 進(jìn)制計(jì)數(shù)。2.1.2 秒計(jì)時(shí)電路的設(shè)計(jì)秒計(jì)時(shí)電路的設(shè)計(jì)秒計(jì)時(shí)電路計(jì)數(shù)周期為60s,觸發(fā)信號(hào)由秒脈沖信號(hào)發(fā)生器提供,當(dāng)計(jì)數(shù)值二十四進(jìn)制計(jì)數(shù)器安徽工業(yè)大學(xué)工商學(xué)院9為59時(shí),下一次觸發(fā)信號(hào)輸入時(shí),向前進(jìn)位并對(duì)計(jì)數(shù)值清零同時(shí)開始進(jìn)入下一個(gè)計(jì)數(shù)周期。2.2 分計(jì)時(shí)電路的設(shè)計(jì)分計(jì)時(shí)電路的設(shè)計(jì)在數(shù)字電子時(shí)鐘中,分計(jì)時(shí)時(shí)鐘與秒計(jì)時(shí)時(shí)鐘周期都為60s,當(dāng)觸發(fā)信號(hào)輸入時(shí),計(jì)數(shù)器計(jì)數(shù)1,累計(jì)到59后,下一秒開始清零并向前進(jìn)位,不同的是秒計(jì)時(shí)觸發(fā)信號(hào)由555多諧振蕩器產(chǎn)生,而時(shí)計(jì)時(shí)電路觸發(fā)信號(hào)由前面的秒計(jì)時(shí)電路產(chǎn)生的進(jìn)位獲得,所以時(shí)計(jì)時(shí)電路電路設(shè)計(jì)原理圖如下:秒計(jì)時(shí)電路安徽工業(yè)大學(xué)工商學(xué)院102.2 時(shí)計(jì)時(shí)電路的設(shè)計(jì)時(shí)計(jì)時(shí)電路的設(shè)計(jì) 在數(shù)字電子時(shí)鐘中,時(shí)計(jì)時(shí)時(shí)鐘周期都為24h,當(dāng)觸發(fā)信號(hào)輸入時(shí),計(jì)數(shù)器計(jì)數(shù)1,累計(jì)到23后,下一秒開始清零并向前進(jìn)位,當(dāng)計(jì)數(shù)值達(dá)到23時(shí),下一個(gè)觸發(fā)信號(hào)輸入時(shí),計(jì)數(shù)器清零同時(shí)開始進(jìn)入下一個(gè)計(jì)數(shù)周期。時(shí)計(jì)時(shí)電路電路設(shè)計(jì)原理圖如下分計(jì)時(shí)電路安徽工業(yè)大學(xué)工商學(xué)院112.3.2 數(shù)字時(shí)鐘電路設(shè)計(jì)數(shù)字時(shí)鐘電路設(shè)計(jì)數(shù)字時(shí)鐘系統(tǒng)的組成利用上面的六十進(jìn)制和二十四進(jìn)制遞增計(jì)數(shù)器子電路構(gòu)成的數(shù)字鐘系統(tǒng)如圖所示24 進(jìn)制計(jì)數(shù)器電路圖安徽工業(yè)大學(xué)工商學(xué)院1224 進(jìn)制數(shù)字時(shí)鐘電路圖安徽工業(yè)大學(xué)工商學(xué)院13以上電路可完成計(jì)時(shí)周期為 24h,可以準(zhǔn)確計(jì)時(shí),具有“時(shí)” (00-23) “分”(00-59) “秒” (00-59)數(shù)字顯示。2.4 校時(shí)電路校時(shí)電路:數(shù)字鐘應(yīng)具有分校正和時(shí)校正功能,因此,應(yīng)截?cái)喾謧€(gè)位和時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中。校正信號(hào)可直接取自信號(hào)發(fā)生器產(chǎn)生的信號(hào);輸出端則與分或時(shí)個(gè)位計(jì)時(shí)輸入端相連。當(dāng)開關(guān)打到一端時(shí),正常輸入信號(hào)可以順利通過,故校時(shí)電路處于正常計(jì)時(shí)狀態(tài);當(dāng)開關(guān)打到一端時(shí),信號(hào)產(chǎn)生校時(shí)電路處于校時(shí)狀態(tài)。校時(shí)電路采用開關(guān)控制時(shí)、分、秒計(jì)數(shù)器的時(shí)鐘信號(hào)為校時(shí)脈沖以完成校時(shí)。如圖,當(dāng)開關(guān) A,B 閉合,C,D 斷開時(shí),電路進(jìn)行正常的計(jì)時(shí)工作;當(dāng)開關(guān)A,B 斷開,C,D 閉合時(shí),就可以自動(dòng)進(jìn)行校時(shí)。當(dāng)然也可以手動(dòng)校準(zhǔn)時(shí)間,這是需要不斷地閉合、斷開開關(guān),每次只改變一個(gè)數(shù)。其中 C 是校時(shí)開關(guān),D 是較分開關(guān),開關(guān) E 用來控制秒得校準(zhǔn),斷開時(shí),秒顯示為 0??紤]到開關(guān)電路中到 59 秒及開始向前進(jìn)位,故添加反向器,從而實(shí)現(xiàn)開關(guān)校時(shí)電路將開關(guān)校時(shí)加入到時(shí)鐘電路中,時(shí)鐘出現(xiàn)誤差時(shí),需校準(zhǔn)。當(dāng)數(shù)字鐘接通電源或者計(jì)時(shí)出現(xiàn)誤差時(shí),需要校正時(shí)間。校時(shí)是數(shù)字鐘應(yīng)具備的基本功能。對(duì)校時(shí)電路的要求是,在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù);在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù)。校時(shí)方式有快校時(shí)和慢校時(shí)兩種,快校時(shí)是,通過開關(guān)校時(shí)電路手動(dòng)校時(shí)開關(guān)校時(shí)電路安徽工業(yè)大學(xué)工商學(xué)院14開關(guān)控制,使計(jì)數(shù)器對(duì) 1Hz 的校時(shí)脈沖計(jì)數(shù)。慢校時(shí)是用手動(dòng)產(chǎn)生單脈沖作校時(shí)脈沖下圖所示為校時(shí)電路和校分電路。其中 S1是校分用的控制開關(guān),S2為校時(shí)用的控制開關(guān),它們的控制功能下表所示。校時(shí)脈沖采用分頻器輸出的 1Hz脈沖,當(dāng) S1或 S2分別為 0 時(shí)可進(jìn)行快校時(shí)。如果校時(shí)脈沖由單脈沖產(chǎn)生器提供,則可以進(jìn)行慢校時(shí)。2Multisim10.0 仿真軟件校時(shí)的具體設(shè)計(jì)方法是:用一個(gè)單刀雙擲開關(guān)切換計(jì)數(shù)功能與校時(shí)功能,另一端接計(jì)數(shù)器的脈沖輸入端,開關(guān)置于函數(shù)發(fā)生器這一端便可以校時(shí),置于計(jì)數(shù)器的進(jìn)位端便是計(jì)時(shí)。不校正時(shí)間時(shí)開關(guān)都應(yīng)打在與非門的那一端。2.5 整點(diǎn)報(bào)時(shí)整點(diǎn)報(bào)時(shí): :電路應(yīng)在整點(diǎn)前10 秒鐘內(nèi)開始整點(diǎn)報(bào)時(shí),即當(dāng)時(shí)間在59 分50 秒到59 分59 秒期間時(shí),報(bào)時(shí)電路報(bào)時(shí)控制信號(hào)。當(dāng)時(shí)間在59 分50 秒到59 分59 秒期間時(shí),分十位、分個(gè)位和秒十位均保持不變,分別為5、9 和5,因此可將分計(jì)數(shù)器十位的Qc 和Qa 、個(gè)位的Qd 和Qa及秒計(jì)數(shù)器十位的Qc 和Qa 相與,從而產(chǎn)生報(bào)時(shí)控制信號(hào)。報(bào)時(shí)電路可選74HC30 來構(gòu)成。74HC30 為8 輸入與非門。整點(diǎn)報(bào)時(shí)的功能要求時(shí),每當(dāng)數(shù)字鐘計(jì)時(shí)快到整點(diǎn)時(shí)發(fā)出聲響。由原理可知當(dāng)分鐘計(jì)數(shù)到一個(gè)周期向前進(jìn)位時(shí),蜂鳴器開始工作。開關(guān)校時(shí)電路安徽工業(yè)大學(xué)工商學(xué)院152.6 鬧鐘電路鬧鐘電路利用上邊的二十四進(jìn)制和六十進(jìn)制的計(jì)數(shù)器作為信息的比較源之一,另外利用四片數(shù)值比較器74LS85對(duì)小時(shí)的個(gè)位和十位以及分鐘的個(gè)位和十位進(jìn)行比較,如果與設(shè)定的時(shí)間一樣,則產(chǎn)生輸出信號(hào)1,再利用7440和7404組成的電路驅(qū)動(dòng)蜂鳴器的鳴叫,鳴叫的時(shí)間是一分鐘,從*:*:00到*:*:59。假設(shè):要求上午 7 時(shí) 59 分發(fā)出鬧時(shí)信號(hào),持續(xù)時(shí)間為 1 分鐘。7 分 59 分對(duì)應(yīng)數(shù)字鐘的時(shí)個(gè)位計(jì)數(shù)器的狀態(tài)為(Q3Q2Q1Q0)HI=0111,分十位計(jì)數(shù)器的狀態(tài)為(Q3Q2Q1Q0)M2=0101, 分個(gè)位計(jì)數(shù)器的狀態(tài)為(Q3Q2Q1Q0)M1=1001。若將上述計(jì)數(shù)器輸出為“1”的所有輸出端經(jīng)過與門電路去控制音響電路,可以使音響電路正好在 7 點(diǎn) 59 分響,持續(xù) 1 分鐘后(8 點(diǎn))停響。所以鬧時(shí)控制信號(hào) z 的表達(dá)式為 Z=(Q2Q1Q0)HI*(Q2Q0)M2*(Q3Q0)M1*M,其中 M 為上午的信號(hào)輸出,要求 M=1。用與非門實(shí)現(xiàn)可將Z進(jìn)行變換,即Z=其邏103202012)()()(MMHIQQQQMQQQ輯電路如圖,74LS20為4輸入二與非門,74LS03為集成電路開路(OC門)的2輸入四與非門,因OC門的輸出端可以進(jìn)行“線與” ,使用時(shí)在它們的輸出端與電源+5V端之間應(yīng)接一電阻RL=3.3。由圖可知在上午7點(diǎn)59分時(shí),音響電路的晶體管導(dǎo)通,則揚(yáng)聲器發(fā)出1KHz的聲音。持續(xù)1分鐘到8點(diǎn)整,晶體管因輸入端為0而截止,電路停鬧。U20 分別接秒鐘十位Qa、Qd;分鐘個(gè)位QaQd;分鐘十位QaQc。整點(diǎn)報(bào)時(shí)電路安徽工業(yè)大學(xué)工商學(xué)院16指定的時(shí)刻發(fā)出信號(hào),或驅(qū)動(dòng)音響電路“鬧時(shí)” ;或?qū)δ逞b置的電源進(jìn)行接通或斷開“控制” 。不管時(shí)鬧時(shí)還是控制,都要求時(shí)間準(zhǔn)確,即信號(hào)的開始時(shí)刻與持續(xù)時(shí)間必須滿足規(guī)定的要求。鬧鐘電路安徽工業(yè)大學(xué)工商學(xué)院17采用開關(guān)的形式控制74LS85的定時(shí)輸入與時(shí)鐘時(shí)間比較,當(dāng)比較數(shù)值一直時(shí)產(chǎn)生輸出信號(hào)1,蜂鳴器工作,工作時(shí)長(zhǎng)為1分鐘。如圖所示,采用開關(guān)控制方便用戶對(duì)鬧鐘時(shí)間的設(shè)定安徽工業(yè)大學(xué)工商學(xué)院18課題總電路圖安徽工業(yè)大學(xué)工商學(xué)院19第第3 3章、仿真調(diào)試:章、仿真調(diào)試:基于Multisim10的數(shù)字電子鐘的設(shè)計(jì)實(shí)現(xiàn)了基本的時(shí)鐘以及對(duì)時(shí)鐘的校準(zhǔn)、定時(shí)鬧鐘,整點(diǎn)報(bào)時(shí),各個(gè)子電路的設(shè)計(jì)如第三部分子電路設(shè)計(jì)的結(jié)構(gòu)電路一樣,將各個(gè)部分連接在一起的整機(jī)連調(diào)的電路圖在multisim10.0平臺(tái)上進(jìn)行仿真。Multisim10.0 是一個(gè)電路原理設(shè)計(jì)、電路功能測(cè)試的虛擬仿真軟件,其元器件庫提供數(shù)千種電路元器件供實(shí)驗(yàn)選用,同時(shí)也可以新建或擴(kuò)充已有的元器件庫。有超強(qiáng)板級(jí)的模擬/數(shù)字電路板的設(shè)計(jì)工作。它包含了電路原理圖的圖形輸入、電路硬件描述語言輸入方式,具有豐富的仿真分析能力。Multisim10.0 軟件進(jìn)行設(shè)計(jì)仿真分析的基本步驟為:設(shè)計(jì)創(chuàng)建仿真電路、原理圖電路圖、選項(xiàng)的設(shè)置、使用仿真儀器、設(shè)定仿真分析方法,啟動(dòng) Multisim10.0 仿真。仿真分析開始前可雙擊儀器圖標(biāo)打開儀器面板。準(zhǔn)備觀察被測(cè)試波形。按下程序窗口右上角的啟動(dòng)停止開關(guān)狀態(tài)為 1,仿真分析開始。若再次按下,啟動(dòng)停止升關(guān)狀態(tài)為 0,仿真分析停止。電路啟動(dòng)后,需要調(diào)整示波器的時(shí)基和通道控制,使波形顯示正常。在 Multisim10.0 軟件中,根據(jù)數(shù)字鐘的總電路圖,設(shè)置函數(shù)發(fā)生器的頻率為 1Hz,把 A 開關(guān)和 B 開關(guān)都接到與非門的那端,再運(yùn)行就可以讓數(shù)字鐘自行計(jì)數(shù)了。如果運(yùn)行的太慢可以適當(dāng)調(diào)節(jié)函數(shù)發(fā)生器的頻率。如果把 A 開關(guān)接到函數(shù)發(fā)生器上,就是對(duì)小時(shí)進(jìn)行校正,如果把 B 開關(guān)接到函數(shù)發(fā)生器上那就是對(duì)分進(jìn)行校正。小時(shí)安徽工業(yè)大學(xué)工商學(xué)院20的計(jì)數(shù)是從 01 到 12,不是從 00 到 11,但在校正小時(shí)位時(shí)初始狀態(tài)仍為 00。振蕩器的仿真可以直接運(yùn)行,然后用示波器觀察現(xiàn)象便可。直流穩(wěn)壓電源的仿真中可以看到用萬用表測(cè)量出關(guān)鍵點(diǎn)的電壓5.123V。用示波器 A 通道和 B 通道分別顯示整流濾波后電壓 UI 的波形和穩(wěn)壓輸出電壓 UO 的波形,從示波器顯示窗口可以看出:上面一條鋸齒波曲線為 UI 波形,下面一條線為 UO 波形。如果以上設(shè)計(jì)的電路通過模擬仿真分析,不符合設(shè)計(jì)要求,可通過逐漸改變?cè)骷?shù),或更改元器件型號(hào),使設(shè)計(jì)符合要求,最終確定出元器件參數(shù)。并可對(duì)更改的電路立即進(jìn)行仿真分析,觀察虛擬結(jié)果是否滿足設(shè)計(jì)要求。3.1.13.1.1 時(shí)鐘顯示完整的時(shí)鐘顯示完整的00:00:0000:00:003.1.23.1.2 時(shí)鐘完整顯示時(shí)鐘完整顯示01:00:0001:00:00安徽工業(yè)大學(xué)工商學(xué)院213.1.33.1.3 時(shí)鐘完整顯示時(shí)鐘完整顯示23:59:5923:59:593.1.43.1.4 仿真開關(guān)校準(zhǔn)仿真開關(guān)校準(zhǔn)“秒秒”電路電路安徽工業(yè)大學(xué)工商學(xué)院223.1.53.1.5 仿真開關(guān)校準(zhǔn)仿真開關(guān)校準(zhǔn)“分分”電路電路3.1.63.1.6 仿真開關(guān)校準(zhǔn)仿真開關(guān)校準(zhǔn)“時(shí)時(shí)”電路電路安徽工業(yè)大學(xué)工商學(xué)院23六、結(jié)論六、結(jié)論由震蕩器、秒計(jì)數(shù)器、分計(jì)數(shù)器、時(shí)計(jì)數(shù)器、顯示數(shù)碼管設(shè)計(jì)了數(shù)字時(shí)鐘電路,經(jīng)過仿真得出較理想的結(jié)果,說明電路圖及思路是正確的,可以實(shí)現(xiàn)所要求的基本功能:計(jì)時(shí)、顯示精確到秒、時(shí)分秒校時(shí)、整點(diǎn)報(bào)時(shí)和鬧鐘的功能。調(diào)試時(shí)有的器件在理論上可行,但在實(shí)際運(yùn)行中就無法看到效果,所以得換不少器件,有時(shí)無法找出錯(cuò)誤便更換器件重新接線以使電路正常運(yùn)行。Multisim10.0 軟件有時(shí)會(huì)出問題,在理論上可行的電路在調(diào)試中未必能顯示出來,這就需要耐心、仔細(xì)地分析和解決問題,不斷地嘗試才能得出正確的答案。七、利用七、利用 Multisim10.0Multisim10.0 仿真軟件設(shè)計(jì)體會(huì)仿真軟件設(shè)計(jì)體會(huì)通過對(duì)軟件 Multisim10.0 的學(xué)習(xí)和使用,進(jìn)一步加深了對(duì)數(shù)字電路的認(rèn)識(shí)。在仿真過程中遇到許多困難,但通過自己的努力和同學(xué)的幫助都一一克服了。首先,連接電路圖過程中,數(shù)碼管不能顯示,后經(jīng)圖形放大后才發(fā)現(xiàn)是電路斷路了。其次,布局的時(shí)候因元件比較多,整體布局比較困難,因子電路不如原電路直觀,最后在不斷努力下,終于不用子電路布好整個(gè)電路。調(diào)試時(shí)有的器件在理論上可行,但在實(shí)際運(yùn)行中就無法看到效果,所以得換不少器件,有時(shí)無法找出錯(cuò)誤便更換器件重新接線以使電路正常運(yùn)行。在整個(gè)設(shè)計(jì)中,74LS160 的接線比較困難,反復(fù)修改了多次,在認(rèn)真學(xué)習(xí)其用法后采用歸零法和置數(shù)法設(shè)計(jì)出 60 進(jìn)制和 24 進(jìn)制的計(jì)數(shù)器。同時(shí),在最后仿真時(shí),預(yù)置的頻率一開始用的是 1hz,結(jié)果仿真結(jié)果反應(yīng)很慢,后把頻率加大,這才在短時(shí)間內(nèi)就能看到全部結(jié)果??傊?,通過這次對(duì)數(shù)字時(shí)鐘的設(shè)計(jì)與仿真,為以后的電路設(shè)計(jì)打下良好的基礎(chǔ),一些經(jīng)驗(yàn)和教訓(xùn),將成為寶貴的學(xué)習(xí)財(cái)富。安徽工業(yè)大學(xué)工商學(xué)院24致謝致謝畢業(yè)論文暫告收尾,這也意味著我在大學(xué)學(xué)習(xí)生活既將結(jié)束?;厥准韧约阂簧顚氋F的時(shí)光能于這樣的校園之中,能在眾多學(xué)富五車、才華橫溢的老師們的熏陶下度過,實(shí)是榮幸之至。在這四年的時(shí)間里,我在學(xué)習(xí)上和思想上都受益非淺。這和各位老師、同學(xué)和朋友的關(guān)心、支持和鼓勵(lì)是分不開的。論文的寫作是枯燥艱辛而又富有挑戰(zhàn)的。在此,我特別要感謝我的導(dǎo)師任明凡老師。從論文的選題、文獻(xiàn)的采集、框架的設(shè)計(jì)、結(jié)構(gòu)的布局到最終的論文定稿,從內(nèi)容到格式,從標(biāo)題到標(biāo)點(diǎn),她都費(fèi)盡心血。謝謝老師的細(xì)心指導(dǎo)。畢業(yè)設(shè)計(jì)即將結(jié)束,在老師的指導(dǎo)和同學(xué)的幫助之下,學(xué)生對(duì)于數(shù)字電子設(shè)計(jì)有了更多新的認(rèn)知,對(duì)知識(shí)有了更深一步的認(rèn)識(shí),對(duì)數(shù)字電子綜合設(shè)計(jì)的整體脈絡(luò)了解得更加的清晰透徹。通過畢業(yè)設(shè)計(jì),學(xué)生對(duì)自己大學(xué)四年以來所學(xué)的知識(shí)有更多的認(rèn)識(shí)。 畢業(yè)設(shè)計(jì),幫助我們總結(jié)大學(xué)四年收獲、認(rèn)清自我。同時(shí),還幫助我們改變一些處理事情時(shí)懶散的習(xí)慣。從最開始時(shí)的搜集資料,整理資料,到方案比選,確定方案,每一步都是環(huán)環(huán)相扣,銜接緊密,其中任何一個(gè)步驟產(chǎn)生遺漏或者疏忽,就會(huì)對(duì)以后的設(shè)計(jì)帶來很多的不便。學(xué)生的動(dòng)手能力和資料搜集能力在設(shè)計(jì)中也得到提升。畢業(yè)設(shè)計(jì)中很多器件、模塊都需要我們?nèi)ツ托牡夭殚啎瑸g覽資料,設(shè)計(jì)中需要用到輔助設(shè)計(jì)軟件的地方,也需要我們耐心的學(xué)習(xí)。掌握其使用的要領(lǐng),運(yùn)用到設(shè)計(jì)當(dāng)中去。最后匯總的時(shí)候,需要將前期各個(gè)階段的工作認(rèn)真整理。畢業(yè)設(shè)計(jì)結(jié)束了,通過設(shè)計(jì),學(xué)生深刻領(lǐng)會(huì)到基礎(chǔ)的重要性,畢業(yè)設(shè)計(jì)不僅僅能幫助學(xué)生檢驗(yàn)大學(xué)四年的學(xué)習(xí)成果,更多的是畢業(yè)設(shè)計(jì)可以幫助我們更加清楚的認(rèn)識(shí)自我,磨練學(xué)生的意志與耐性,這會(huì)為學(xué)生日后的工作和生活帶來很大的幫助。安徽工業(yè)大學(xué)工商學(xué)院25五、參考文獻(xiàn)1、梁宗善,電子技術(shù)基礎(chǔ)課程設(shè)計(jì),華中科技大學(xué)出版社,2009.032、余孟嘗,數(shù)字電子技術(shù)基礎(chǔ)(簡(jiǎn)明教程),高等教育出版社,2006.013、劉舜奎等主編,電子技術(shù)實(shí)驗(yàn)教程,廈門大學(xué)出版社,2008.01.4、毛哲等主編,電路計(jì)算機(jī)設(shè)計(jì)仿真與測(cè)試,華中科技大學(xué)出版社,2003.045、卿太全等主編,常用數(shù)字集成電路原理與應(yīng)用,人民郵電大學(xué)出版社,2006.016、從宏壽,程衛(wèi)群,李邵銘Multisim8 仿真與應(yīng)用實(shí)例開發(fā) ,清華大學(xué)出版社,20087、 閻石數(shù)字電子技術(shù)基礎(chǔ) ,高等教育出版社,2008.05

注意事項(xiàng)

本文(數(shù)字時(shí)鐘的設(shè)計(jì)畢業(yè)論文)為本站會(huì)員(1666****666)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!