九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

正弦發(fā)生電路的設(shè)計畢業(yè)設(shè)計論文(論文)

  • 資源ID:38503358       資源大?。?span id="24d9guoke414" class="font-tahoma">481.03KB        全文頁數(shù):22頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

正弦發(fā)生電路的設(shè)計畢業(yè)設(shè)計論文(論文)

1 引言1.1 DDS的簡單介紹DDS同 DSP(數(shù)字信號處理)一樣,是一項關(guān)鍵的數(shù)字化技術(shù)。DDS是直接數(shù)字式頻率合成器(Direct Digital Synthesizer)的英文縮寫。與傳統(tǒng)的頻率合成器相比,DDS具有低成本、低功耗、高分辨率和快速轉(zhuǎn)換時間等優(yōu)點,廣泛使用在電信與電子儀器領(lǐng)域,是實現(xiàn)設(shè)備全數(shù)字化的一個關(guān)鍵技術(shù)?,F(xiàn)代電子測量工作隊波形發(fā)生器的性能提出了更高的要求,如輸出波形質(zhì)量更好、頻率寬帶更寬、頻率更穩(wěn)定、準確度及 分辨率更高、頻率轉(zhuǎn)換速度且輸出波形相位連續(xù)等。DDS芯片中主要包括頻率控制寄存器、高速相位累加器和正弦計算器三個部分。頻率控制寄存器可以串行或并行的方式裝載并寄存用戶輸入的頻率控制碼;而相位累加器根據(jù)頻率控制碼在每個時鐘周期內(nèi)進行相位累加,得到一個相位值;正弦計算器則對該相位值計算數(shù)字化正弦波幅度(芯片一般通過查表得到)。DDS芯片輸出的一般是數(shù)字化的正弦波,因此還需經(jīng)過高速D/A轉(zhuǎn)換器和低通濾波器才能得到一個可用的模擬頻率信號。1.2 DDS的發(fā)展及其優(yōu)點DDS是全數(shù)字化技術(shù)、其幅度、相位、頻率均可實現(xiàn)程控,并可通過更換波形數(shù)據(jù)靈活實現(xiàn)任意波形,此外,DDS易于單片集成,體積小,價格低,功耗小,因此,DDS技術(shù)近年來得到了飛速發(fā)展,其應(yīng)用也越來越廣泛。在各行各業(yè)的測試應(yīng)用中,信號源扮演著極為重要的作用。但信號源具有許多不同的類型,不同類型的信號源在功能和特性上各不相同,分別適用于許多不同的應(yīng)用。目前,最常見的信號源類型包括任意波形發(fā)生器,函數(shù)發(fā)生器,RF信號源,以及基本的模擬輸出模塊。信號源中采用DDS技術(shù)在當前的測試測量行業(yè)已經(jīng)逐漸稱為一種主流的做法。 DDS有如下優(yōu)點:1.頻率分辨率高,輸出頻點多,可達2的N次方個頻點(N為相位累加器位數(shù)); 2.頻率切換速度快,可達us量級; 3.頻率切換時相位連續(xù); 4.可以輸出寬帶正交信號; 5.輸出相位噪聲低,對參考頻率源的相位噪聲有改善作用;6.可以產(chǎn)生任意波形; 7.全數(shù)字化實現(xiàn),便于集成,體積小,重量輕。1.3本文的主要內(nèi)容 本文主要是運用DDS技術(shù)產(chǎn)生一個正弦發(fā)生電路的設(shè)計,它是一種全數(shù)字頻率合成技術(shù),它完全沒有振蕩元件和鎖相環(huán),而是用一連串數(shù)據(jù)流經(jīng)過數(shù)模轉(zhuǎn)換器產(chǎn)生出一個預先設(shè)定的模擬信號(正弦信號)。它將先進的數(shù)字信號處理理論與方法引入信號合成領(lǐng)域,實現(xiàn)了合成信號的頻率轉(zhuǎn)換速度與頻率準確度之間的統(tǒng)一。 1.3.1 DDS的主要芯片介紹 如表1-1所示,介紹由AD公司生產(chǎn)的幾款DDS芯片的性能指標。本設(shè)計中采用的DDS芯片是AD9850。AD9850是AD公司生產(chǎn)的最高時鐘為125MHz的直接頻率合成器,主要由可編程DDS系統(tǒng)、高性能模數(shù)變換器(DAC)和高速比較器3部分構(gòu)成。能實現(xiàn)全數(shù)字編程控制的頻率合成,并具有時鐘產(chǎn)生功能。表1-1DDS的主要芯片介紹2 DDS技術(shù)產(chǎn)生信號波形的原理2.1 DDS的基本結(jié)構(gòu)及基本工作原理直接數(shù)字頻率合成器(Direct Digital Synthesizer)是一種把一系列數(shù)字量形式的信號通過DAC轉(zhuǎn)換成模擬量形式的信號合成技術(shù)。目前使用最廣泛的一種DDS方式是利用高速存儲器作查尋表,然后通過高速DAC產(chǎn)生已經(jīng)用數(shù)字形式存入的正弦波。一個直接數(shù)字頻率合成器由頻率控制字、相位累加器、波形存儲ROM(正弦查詢表)、D/A轉(zhuǎn)換器和低通濾波器(LPF)構(gòu)成。參考時鐘為高穩(wěn)定度的晶體振蕩器,其輸出用于同步DDS各組成部分的工作。DDS的原理框圖如圖2-1所示:圖2-1 DDS原理框圖DDS系統(tǒng)的核心是相位累加器,它由一個加法器與一個N位相位寄存器構(gòu)成。每來一個時鐘脈沖,加法器將頻率控制數(shù)據(jù)與累加寄存器輸出的累加相位數(shù)據(jù)相加,把相加后的結(jié)果送至累加寄存器的數(shù)據(jù)輸入端。累加寄存器將加法器在上一個時鐘作用后所產(chǎn)生的新相位數(shù)據(jù)反饋到加法器的輸入端,以使加法器在下一個時鐘的作用下繼續(xù)與頻率控制數(shù)據(jù)相加。這樣,相位累加器在參考時鐘的作用下,進行線性相位累加,當相位累加器累加滿量時就會產(chǎn)生一次溢出,完成一個周期性的動作,這個周期就是DDS合成信號的一個頻率周期,累加器的溢出頻率就是輸出的信號頻率。正弦查詢表是一個可編程只讀存儲器,存儲的是以相位為地址的一個周期正弦信號的采樣編碼值,包含一個周期正弦波的數(shù)字幅度信息,每個地址對應(yīng)與正弦波中0o-360o范圍的一個相位點。將相位寄存器的輸出與相位控制字相加得到的數(shù)據(jù)作為一個地址對正弦查詢表進行尋址,查詢表把輸入的地址相位信息映射成正弦波幅度信號,驅(qū)動DAC,輸出模擬信號,低通濾波器平滑并濾除不需要的取樣分量,以便輸出頻譜純凈的正弦波信號。對于計數(shù)容量為2N的相位累加器和具有M個相位取樣的正弦波波形存儲器,若頻率控制字為,輸出信號頻率為,參考時鐘頻率為,則DDS系統(tǒng)輸出信號的頻率為。2.1.1 頻率控制字的作用被稱為頻率控制字,也叫相位增量。DDS方程為:,為輸出信號頻率, 為參考時鐘頻率。當=1時,DDS輸出最低頻率(也即頻率分辨率),為,而DDS的最大輸出頻率由奈奎斯特(Nyquist)采樣定理決定,即,也就是說的最大值為。因此,只要足夠大,DDS可以得到很細的頻率間隔。要改變DDS的輸出頻率,只要改變控制字即可。2.1.2累加器 相位累加器由位加法器與位寄存器級聯(lián)構(gòu)成。每來一個時鐘脈沖 ,加法器將頻率控制字與寄存器輸出的累加相位數(shù)據(jù)相加,再把相加后的結(jié)果送至寄存器的數(shù)據(jù)輸入端。寄存器將加法器在上一個時鐘作用下繼續(xù)與頻率控制字進行相加。這樣,相位累加器在時鐘的作用下,進行相位累加。當相位累加器累加滿時就會產(chǎn)生一次溢出,完成一個周期性的動作。2.2.3 控制相位的加法器通過改變相位控制字可以控制輸出信號的相位參數(shù)。令相位加法器的字長為,當相位控制字由0躍變到(0)時,波形存儲器的輸入為相位累加器的輸出與相位控制字之和,因而其輸出的幅度編碼相位會增加,從而使最后輸出的信號產(chǎn)生相移。2.2.4 控制波形的加法器通過改變波形控制字W可以控制輸出信號的波形。由于波形存儲器中的不同波形是分塊存儲的,所以當波形控制字改變時,波形存儲器的輸入為改變相位后的地址與波形控制字W(波形地址)之和,從而使最后輸出的信號產(chǎn)和相移。2.2.6 D/A轉(zhuǎn)換器D/A轉(zhuǎn)換器的作用是把合成的正弦波數(shù)字量轉(zhuǎn)換成模擬量。正弦幅度量化序列經(jīng)D/A轉(zhuǎn)換后變成了包絡(luò)為正弦波的階梯波。需要注意的是,頻率合成器對D/A轉(zhuǎn)換器的分辨率有一定的要求,D/A轉(zhuǎn)換器的分辨率越高,合成的正弦波臺階數(shù)就越多,輸出的波形的精度也就越高。2.2.7 低通濾波器對D/A輸出的階梯波進行頻譜分析,可知輸出的階梯波中除主頻外,還存在分布在,等等的兩邊處的非諧波分量。因此,為了取出主頻,必須在D/A轉(zhuǎn)換器的輸出端接入截止頻率為的低通濾波器。2.2 DDS的數(shù)學原理設(shè)有一頻率為的余弦信號:現(xiàn)在以采樣頻率對進行采樣,得到的離散序列為: 其中為采樣周期。對應(yīng)的相位序列為 從上式可以看出相位序列呈線性,即相鄰的樣值之間的相位增量是一個常數(shù),而且這個常數(shù)僅與信號的頻率有關(guān),相位增量為:因為信號頻率與采樣頻率之間有以下關(guān)系: 其中與為兩個正整數(shù),所以相位的增量也可以完成:由上式可知,若將的相位均勻的分為等份,那么頻率為的余弦信號以頻率采樣后,它的量化序列的樣品之間的量化相位增量為一個不變值。根據(jù)上述原理可以構(gòu)造一個不變量為量化相位增量的量化序列: 然后完成從到另一個序列的映射,由構(gòu)造序列: 公式(21)公式(2-1)是連續(xù)信號經(jīng)采樣頻率為采樣后的離散時間序列,根據(jù)采樣定理,當時,經(jīng)過低通濾波器平滑后,可唯一恢復出。 可見,通過上述變換不變量將唯一的確定一個單頻率模擬余弦信號: 該信號的頻率為: 公式(22)公式(22)就是直接數(shù)字頻率合成(DDS)的方程式,在實際的DDS中,一般取,于是DDS方程就可以寫成: 公式(23)根據(jù)公式(23)可知,要得到不同的頻率只要通過改變的具體數(shù)值就可以了,而且還可以得到DDS的最小頻率分辨率(最小頻率間隔)為當時的輸出頻率:可見當參考頻率始終一定是,其分辨率由相位累加器的位數(shù)決定,若取,則,即分辨率可以達到,這也是最低的合成頻率,輸出頻率的高精度DDS的一大優(yōu)點。由奈奎斯特準則可知,允許輸出的最高頻率,即,但實際上在應(yīng)用中受到低通濾波器的限制,通常,以便于濾波鏡像頻率,一般:由此可見DDS的工作頻率帶較寬,可以合成從直流到的頻率信號,同時它的輸出相位連續(xù),頻率穩(wěn)定度高。3 總體設(shè)計方案31系統(tǒng)設(shè)計原理本文提出的采用DDS作為信號發(fā)生核心器件的全數(shù)控函數(shù)信號發(fā)生器設(shè)計方案, 根據(jù)輸出信號波形類型可設(shè)置、輸出信號幅度和頻率可數(shù)控、輸出頻率寬等要求,選用了美國A/D公司的AD9850 芯片,并通過單片機程序控制和處理AD9850的32位頻率控制字, 再經(jīng)放大后加至以數(shù)字電位器為核心的數(shù)字衰減網(wǎng)絡(luò), 從而實現(xiàn)了信號幅度、頻率、類型以及輸出等選項的全數(shù)字控制。本系統(tǒng)主要由單片機、DDS直接頻率信號合成器、數(shù)字衰減電路、真有效值轉(zhuǎn)換模塊、A/D轉(zhuǎn)換模塊、數(shù)字積分選擇電路等部分組成。單片機AT89S52是整個系統(tǒng)關(guān)鍵部分,通過對鍵盤進行掃描讀入相位信息,經(jīng)轉(zhuǎn)換后輸出到芯片AD9850,輸出波形。鍵盤輸入的數(shù)字信息經(jīng)AT89S52控制的LCD1602顯示。32總體設(shè)計框圖MCUAD9850LFP正弦信號輸 出鍵盤液晶系統(tǒng)構(gòu)成如下圖3-1所示。圖3-1 系統(tǒng)框圖4系統(tǒng)硬件模塊的組成4.1 鍵盤控制模塊由于本設(shè)計中需要對輸出波形的各項參數(shù)進行設(shè)置,所需要的按鍵較多,為了節(jié)省單片機的I/O口資源我們采用2*3的矩陣式鍵盤,如圖4-1所示,通過2*3矩陣式鍵盤對波形的頻率進行設(shè)置,操作簡單方便,充分利用了單片機資源。圖4-1 鍵盤控制電路4.2單片機控制模塊主控電路中,以單片機為主體,通過分析鍵盤輸入的數(shù)字值,對AD9850寫入相應(yīng)的控制字。它是系統(tǒng)的大腦。單片機(MICROCONTROLLER,又稱微控制器)是在一塊硅片上集成了各種部件的微型機算計,這些部件包括中央處理器CPU、數(shù)據(jù)存貯器RAM、程序存貯器ROM、定時器/計數(shù)器和多種I/O接口電路。4.2.1 AT89S52功能特性描述AT89S52是一種低功耗、高性能CMOS8位微控制器,具有8K 在系統(tǒng)可編程Flash 存儲器。使用ATMEL公司高密度非易失性存儲器技術(shù)制造,與工業(yè)80C51 產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲器在系統(tǒng)可編程,亦適于常規(guī)編程器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash,使得AT89S52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、有效的解決方案。AT89S52具有以下標準功能:8k字節(jié)Flash、256字節(jié)RAM、32 位I/O 口線、看門狗定時器、2個數(shù)據(jù)指針、三個16 位定時器/計數(shù)器、一個6向量2級中斷結(jié)構(gòu)、全雙工串行口、片內(nèi)晶振及時鐘電路。另外,AT89S52 可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式??臻e模式下,CPU停止工作,允許RAM、定時器/計數(shù)器、串口、中斷繼續(xù)工作。掉電保護方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機一切工作停止,直到下一個中斷或硬件復位為止。AT89S52的引腳結(jié)構(gòu)如圖:圖4-2 單片機AT89S52引腳結(jié)構(gòu)圖P0口:P0口是一個8位漏極開路的雙向I/O口。作為輸出口,每位能驅(qū)動8個TTL邏輯電平。對P0端口寫“1”時,引腳用作高阻抗輸入。當訪問外部程序和數(shù)據(jù)存儲器時,P0口也被作為低8位地址/數(shù)據(jù)復用。在這種模式下,P0具有內(nèi)部上拉電阻。在flash編程時,P0口也用來接收指令字節(jié);在程序校驗時,輸出指令字節(jié)。程序校驗時,需要外部上拉電阻。P1口:P1 口是一個具有內(nèi)部上拉電阻的8 位雙向I/O 口,P1 輸出緩沖器能驅(qū)動4個TTL邏輯電平。對P1 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流。此外,P1.0和P1.2分別作定時器/計數(shù)器2的外部計數(shù)輸入(P1.0/T2)和時器/計數(shù)器2的觸發(fā)輸入(P1.1/T2EX),在flash編程和校驗時,P1口接收低8位地址字節(jié)。P2 口:P2 口是一個具有內(nèi)部上拉電阻的8 位雙向I/O 口,P2 輸出緩沖器。能驅(qū)動4個TTL 邏輯電平。對P2 端口寫“1”時,內(nèi)部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入使用時,被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流。在訪問外部程序存儲器或用16位地址讀取外部數(shù)據(jù)存儲器(例如執(zhí)行MOVX DPTR)時,P2 口送出高八位地址。在這種應(yīng)用中,P2口使用很強的內(nèi)部上拉發(fā)送1。在使用8位地址(如MOVX RI)訪問外部數(shù)據(jù)存儲器時,P2口輸出P2鎖存器的內(nèi)容。在flash編程和校驗時,P2口也接收高8位地址字節(jié)和一些控制信號。隨著計算機技術(shù)的高速發(fā)展,單片機以其自身的特點,已廣泛應(yīng)用于智能儀器、工業(yè)控制、家用電器、電子玩具等各個領(lǐng)域。4.2.2 時鐘電路圖4-3 時鐘電路XTAL1是片內(nèi)振蕩器的反相放大器輸入端,XTAL2則是輸出端,使用外部振蕩器時,外部振蕩信號應(yīng)直接加到XTAL1,而XTAL2懸空。內(nèi)部方式時,時鐘發(fā)生器對振蕩脈沖二分頻,如晶振為12MHz,時鐘頻率就為6MHz。晶振的頻率可以在1MHz-24MHz內(nèi)選擇。電容取30PF左右。AT89C51中有一個用于構(gòu)成內(nèi)部振蕩器的高增益反相放大器,引腳XTAL1和XTAL2分別是該放大器的輸入端和輸出端。這個放大器與作為反饋元件的片外石英晶體或者陶瓷諧振器一起構(gòu)成自激振蕩器。片外石英晶體或者陶瓷諧振器及電容C1、C2接在放大器的反饋回路中構(gòu)成并聯(lián)振蕩電路。對外接電容C1、C2雖然沒有十分嚴格的要求,但電容容量的大小會輕微影響振蕩頻率的高低、振蕩器工作的穩(wěn)定性、起振的難易程序及溫度穩(wěn)定性,這里采用電容30pF,晶振采用11.0592MHz。4.2.3復位電路AT89C51的外部復位電路有上電自動復位和手動按鍵復位。上電復位電容充電來實現(xiàn)。手動按鍵復位又分為按鍵電平復位和按鍵脈沖復位。按鍵電平復位電路是在普通RC復位電路的基礎(chǔ)上接一個有下拉電阻10K、上拉電容10f接VCC,電源由開關(guān)經(jīng)串接的1K限流電阻至復位腳(和上拉電容并聯(lián)),上拉電容支路負責在“上電”瞬間實施復位;開關(guān)通過1K上拉電阻和10K下拉電阻分壓器,保證對單片機實施按鍵電平復位。電路圖如圖4-4所示。圖4-4復位電路4.3 LCD顯示模塊4.3.1 LCD1602的主要性能1602型LCD可以顯示2行16個字符,有8位數(shù)據(jù)總線D0D7和RS,R/W,EN三個控制端口,工作電壓為5V,并且具有字符對比度調(diào)節(jié)和背光功能。1602型LCD的接口信號說明,如表4-1所示:表4-1 LCD1602接口說明編號符號引腳說明編號符號引腳說明1VSS電源地9D2Data I/O2VDD電源正極10D3Data I/O3VL液晶顯示偏壓信號11D4Data I/O4RS數(shù)據(jù)/命令選擇端(H/L)12D5Data I/O5R/W讀寫選擇端(H/L)13D6Data I/O6E使能信號14D7Data I/O7D0Data I/O15BLA背光源正極8D1Data I/O16BLK背光源負極基本操作程序讀狀態(tài):輸入:RS=L,RW=H,E=H輸出:D0D7=狀態(tài)字讀數(shù)據(jù):輸入:RS=H,RW=H,E=H輸出:無寫指令:輸入:RS=L,RW=L,D0D7=指令碼,E=高脈沖 輸出:D0D7=數(shù)據(jù)寫數(shù)據(jù):輸入:RS=H,RW=L,D0D7=數(shù)據(jù),E=高脈沖 輸出:無4.3.2 LCD1602與單機的連接由于本設(shè)計顯示的數(shù)字位數(shù)較多,我們采用LCD1602作為顯示裝置,既能達到顯示位數(shù)的目的,有能達到節(jié)省單片機資源的效果,如圖4-5所示,LCD1602與單片機的連接,1602的DB0DB7與89S52的P2口相接,RS與P13相接,R/W與P12相接,E與P11相接。VL與地之間接一個10K的滑動變阻器起到LCD1602初始顯示的調(diào)節(jié)。圖4-5 LCD與單片機的接口電路4.4 AD9850 與單片機連接模塊4.4.1 AD9850簡介美國AD公司推出的高集成度頻率合成器AD9850便是采用DDS技術(shù)的典型產(chǎn)品之一。AD9850采用先進的CMOS工藝,其功耗在3.3V供電時僅為155mW,擴展工業(yè)級溫度范圍為4080,采用28腳SSOP表面封裝形式。AD9850的引腳排列如圖4-6所示,圖4-7為其組成框圖。中層虛線內(nèi)是一個完整的可編程DDS系統(tǒng),外層虛線內(nèi)包含了AD9850的主要組成部分。AD9850內(nèi)含可編程DDS系統(tǒng)和高速比較器,能實現(xiàn)全數(shù)字編程控制的頻率合成??删幊藾DS系統(tǒng)的核心是相位累加器,它由一個加法器和一個位相位寄存器組成, 一般為2432。每來一個外部參考時鐘,相位寄存器便以步長遞加。相位寄存器的輸出與相位控制字相加后可輸入到正弦查詢表地址上。正弦查詢表包含一個正弦波周期的數(shù)字幅度信息,每一個地址對應(yīng)正弦波中0o-360范圍的一個相位點。查詢表把輸入地址的相位信息映射成正弦圖4-6 AD9850管腳排列圖圖4-7 AD9850組成框圖波幅度信號,然后驅(qū)動DAC以輸出模擬量。相位寄存器每過個外部參考時鐘后返回到初始狀態(tài)一次,相應(yīng)地正弦查詢表每經(jīng)過一個循環(huán)也回到初始位置,從而使整個DDS系統(tǒng)輸出一個正弦波。輸出的正弦波周期,頻率,、分別為外部參考時鐘的周期和頻率。AD9850采用32位的相位累加器將信號截斷成14位輸入到正弦查詢表,查詢表的輸出再被截斷成10位后輸入到DAC, DAC再輸出兩個互補的電流。DAC滿量程輸出電流通過一個外接電阻RSET調(diào)節(jié),調(diào)節(jié)關(guān)系為: Rset的典型值是3.9k。將DAC的輸出經(jīng)低通濾波后接到AD9850內(nèi)部的高速比較器上即可直接輸出一個抖動很小的方波。AD9850在接上精密時鐘源和寫入頻率相位控制字之后就可產(chǎn)生一個頻率和相位都可編程控制的模擬正弦波輸出,此正弦波可直接用作頻率信號源或經(jīng)內(nèi)部的高速比較器轉(zhuǎn)換為方波輸出。在125MHz的時鐘下, 32位的頻率控制字可使AD9850的輸出頻率分辨率達0.0291Hz;并具有5位相位控制位,而且允許相位按增量180、90、45、22.5、11.25或這些值的組合進行調(diào)整。4.4.2 AD9850的控制字與控制時序AD9850有40位控制字, 32位用于頻率控制,5位用于相位控制, 1位用于電源休眠(Powerdown)控制, 2位用于選擇工作方式。這40位控制字可通過并行方式或串行方式輸入到AD9850,圖4-8是控制字并行輸入的控制時序圖,在并行裝入方式中,通過8位總線D0D7將可數(shù)據(jù)輸入到寄存器,在重復5次之后再在FQ-UD上升沿把40位數(shù)據(jù)從輸入寄存器裝入到頻率/相位數(shù)據(jù)寄存器(更新DDS輸出頻率和相位),同時把地址指針復位到第一個輸入寄存器。接著在W-CLK的上升沿裝入8位數(shù)據(jù),并把指針指向下一個輸入寄存器,連續(xù)5個W-CLK上升沿后, W-CLK的邊沿就不再起作用,直到復位信號或FQ-UD上升沿把地址指針復位到第一個寄存器。圖4-8 控制字并行輸入的時序圖圖4-9 控制字串行輸入的時序圖在串行輸入方式,W-CLK上升沿把25引腳的一位數(shù)據(jù)串行移入,當移動40位后,用一個FQ_UD脈沖即可更新輸出頻率和相位。圖4-9是相應(yīng)的控制字串行輸入的控制時序圖。AD9850的復位(RESET)信號為高電平有效,且脈沖寬度不小于5個參考時鐘周期。AD9850的參考時鐘頻率一般遠高于單片機的時鐘頻率,因此AD9850的復位(RESET)端可與單片機的復位端直接相連。表4-2AD9850串行裝載的數(shù)據(jù)結(jié)構(gòu)位代號功能位代號功能位代號功能位代號功能W0Freq-b0(LSB)W10Freq-b10W20Freq-b20W30Freq-b30W1Freq-b1W11Freq-b11W21Freq-b21W31Freq-b31(MSB)W2Freq-b2W12Freq-b12W22Freq-b22W32ControlW3Freq-b3W13Freq-b13W23Freq-b23W33ControlW4Freq-b4W14Freq-b14W24Freq-b24W34Power-DownW5Freq-b5W15Freq-b15W25Freq-b25W35Phase-b0(LSB)W6Freq-b6W16Freq-b16W26Freq-b26W36Phase-b 1W7Freq-b7W17Freq-b17W27Freq-b27W37Phase-b 2W8Freq-b8W18Freq-b18W28Freq-b28W38Phase-b 3W9Freq-b9W19Freq-b19W29Freq-b29W39Phase-b4(MSB)在表4-2中,位W0W31的32位是頻率控制字,改變它的內(nèi)容可以改變AD9850的輸出頻率。位W32和W33用于工廠測試,應(yīng)向這兩位賦0。位W34用來控制AD9850的上電和掉電,當不需要輸出信號時,通過打這一位置1來實現(xiàn)掉電。位W35W39的5位是相位控制字,改變它的內(nèi)容可以改變AD9850的輸出相位。串行裝載時,AD9850的D7引腳和W_CLK引腳組成同步串行接口,這個接口可以直接與89S52相接連。40位控制/數(shù)據(jù)字通過AD9850的D7引腳在W_CLK引腳的脈沖信號上升邊沿作用下分40次裝入。W0在前,W39在后,依次裝入。完成40位控制/數(shù)據(jù)字的裝載后,F(xiàn)Q_UD引腳的脈沖信號上升沿刷新AD9850的工作狀態(tài),同時復位寄存器指針,準備下一次位控制/數(shù)據(jù)字的裝入。4.4.3單片機與AD9850的接口單片機與AD9850的接口既可采用并行方式,也可采用串行方式,但為了充分發(fā)揮芯片的高速性能,應(yīng)在單片機資源允許的情況下盡可能選擇并行方式,本文重點介紹其并行方式的接口。并行接口接口電路比較簡單,但占用單片機資源相對較多,圖4-10是I/O方式并行接口的電路圖,AD9850的數(shù)據(jù)線D0D7與P1口相連, FQ_UD和W_CLK分別與P2.3(10引腳)和P2.4(11引腳)相連,所有的時序關(guān)系均可通過軟件控制實現(xiàn)。圖4-10 AD9850與單片機連接4.5 濾波電路設(shè)計為了使輸出的頻率不受外界和一些雜波的干擾,需用一個低通濾波器(LPF)濾除高次諧波。常用的濾波器的頻率響應(yīng)有三種:巴特沃斯型(Butterworth),切比雪夫型 (Chebyshev)和橢圓型 (Cauer)。其中巴特沃斯濾波器通帶最平坦,它的通帶內(nèi)沒有紋波,在靠近零頻處,有最平坦通帶,趨向阻帶時衰減單調(diào)增大,缺點是從通帶到阻帶的過渡帶最寬,對于帶外干擾信號的衰減作用最弱,過渡帶不夠陡峭,因此它適用于對通帶要求較高,而去除的頻率離通帶較遠的情況;切比雪夫濾波器在通帶內(nèi)衰減在零值和一個上限值之間做等起伏變化,阻帶內(nèi)衰減單調(diào)增大,帶內(nèi)有起伏,但過渡帶比較陡峭;橢圓濾波器不僅通帶內(nèi)有起伏,阻帶內(nèi)也有起伏,而且過渡帶陡峭。比較起來,橢圓濾波器性能更好,本設(shè)計中采用的是橢圓濾波器。具體電路圖如圖4-11所示。圖4-11 濾波電路4.6 DDS硬件抗干擾處理DDS的時鐘頻率很高,對周圍電路有一定影響,在電路中采取了一些抗干擾措施,如:引線盡量短,減少交叉,每個芯片的電源與地之間都解憂去耦電容,數(shù)字地與模地分開。5 軟件設(shè)計與調(diào)試51 程序設(shè)計流程圖 通過程序預置頻率,并實現(xiàn)對頻率步進的控制,處理用戶由鍵盤鍵入的頻率值,判斷是否超出范圍,生成頻率控制字,經(jīng)并行方式送入DDS,合成用戶所需的頻率,并通過程序?qū)崿F(xiàn)頻率的顯示。程序流程圖如圖5-1所示。開始按鍵處理是否有鍵按下AD9850數(shù)據(jù)更新更新頻率控制字鍵盤掃描LCD顯示初始化進入主程序YN圖5-1 程序流程圖5.2軟件設(shè)計與調(diào)試本系統(tǒng)的軟件調(diào)試可以在Keil uvision2的環(huán)境中完成,Keil系統(tǒng)為軟件的開發(fā)和調(diào)試提供了良好的用戶界面和強大的功能。程序調(diào)試無誤后,可以裝入單片機中進行測試,用單片機系統(tǒng)與DDS系統(tǒng)相結(jié)合,并用示波器觀察測試的效果。5.2.1部分源程序#include <reg52.h> #define ddsdata P2sbit reset=P27;sbit wclk=P26;sbit fqud=P25;sbit strobe0=P10;sbit strobe1=P11;void delay(unsigned char i)while(i-);void initialad9850(void)/寫相位、頻率控制字前初始化 strobe0=0;strobe1=0;ddsdata=0x00;strobe1=1;reset=1;reset=0;strobe1=0;void writebyte(unsigned char frepha) /8位并行方式寫相位、頻率控制字ddsdata=frepha;strobe0=1;strobe0=0;/wclk = 0;ddsdata=0x00; /須保證reset、fqud也為低,否則時序有誤strobe1=1;wclk = 1;wclk = 0;strobe1=0;void updataad9850(void)/以40位控制字進行相位、頻率更新ddsdata=0x00;/須保證reset、wclk也為低,否則時序有誤strobe1=1;fqud=1;fqud=0;strobe1=0;void freqcom(unsigned long data frequency)unsigned long data freq32;char data j;unsigned char data freq4;freq32=frequency*34.359738368; /232/125000000freq3=freq32/16777216;freq2=(freq32/65536)%256;freq1=(freq32/256)%256;freq0=freq32%256;writebyte(0x00); / 必須保證此字節(jié)最低兩位為00,廠家保留測試位,寫錯后果嚴重for(j=3;j>=0;j-)writebyte(freqj); updataad9850();void main()initialad9850();freqcom(3000);/while(1);結(jié) 論畢業(yè)設(shè)計完成的主要工作是完成單片機控制AD9850產(chǎn)生正弦信號,并能顯示出相對應(yīng)的頻率,且使頻率在020MHz的范圍內(nèi)能以1Hz為步長進行調(diào)整。 通過搜集目前DDS技術(shù)的相關(guān)資料,了解國內(nèi)外DDS信號發(fā)生器的相關(guān)制作方法,并通過設(shè)計方案的比較,針對設(shè)計任務(wù)提出了可行方案。在設(shè)計方案中,結(jié)合單片機的功能特點及其控制特性,利用簡便的單片機C-51語言和其內(nèi)部時鐘,以單片機作為控制的核心。根據(jù)設(shè)計方案,詳細地闡述了單片機的控制原理、AD9850的使用方法、制作了電路原理樣機并進行調(diào)試。結(jié)果表明,所設(shè)計的電路和軟件能完成基本的測試功能。 總之,DDS芯片因其轉(zhuǎn)換速度快、性能價格比高、體積小、輸出的波形穩(wěn)定度高、精度高、分辨率高,而且輸出波形的頻率、相位可控,因而在各類電子設(shè)備,特別是通信、雷達等領(lǐng)域中的應(yīng)用將越來越廣泛。 致 謝 經(jīng)過一個月的忙碌和工作,本次畢業(yè)設(shè)計已經(jīng)接近尾聲。留給了我很深的思考,只有通過學習才能獲得知識,開始時并不是什么都會,但是只要努力了就一定會有收獲 雖然中間的過程很辛苦,但是只要有結(jié)果,就可以忘記艱辛的過程。此外通過這次設(shè)計,我對電子設(shè)計的認識有了很大的提高,同時認識到自己在硬件設(shè)計方面還有很大的欠缺。主要表現(xiàn)在對很多原理知識掌握的不是很清楚,對硬件設(shè)計的正確方法掌握不多,造成了很多的重復性工作。,由于經(jīng)驗缺乏,難免有許多考慮不周全的地方,如果沒有指導老師的督促和指導,以及于天柱同學的支持和幫助下,要想完成論文是很難的。論文題目的擬定到實施是根據(jù)之前在電子比賽實訓中所學到的知識的應(yīng)用。因此,在這里要感謝我的指導老師們。感謝他們一直很悉心的指導我們的工作,無論是什么時間段去找他們答疑提問,包括是下班的休息時間,他都是有問必答,同時還為我們搜索了許多相關(guān)知識的資料,給我解決了很多困難,老師兢兢業(yè)業(yè)的工作精神、嚴謹?shù)闹螌W態(tài)度、踏實真誠的處事態(tài)度,對事負責,對學生負責,使我深受感動,這是我在現(xiàn)在和今后的學習、工作生活中要努力學習的。在此,我向老師致以最衷的感謝!最后,衷心的感謝各位答辯組的老師!感謝您們能在百忙之中參與我的論文答辯工作。謝謝!參 考 文 獻1 童詩白,華成英 模擬電子技術(shù)基礎(chǔ) 高等教育出版社 20032 陳明熒 單片機設(shè)計實訓教材 清華大學出版社 20043 閻石 數(shù)字電子技術(shù)基礎(chǔ) 高教出版社 20044 全國大學生電子設(shè)計競賽組委員,獲作品匯編,北京;北京理工大學出版社20045 瞿安連 應(yīng)用電子技術(shù),北京;科學出版社20036 丁元杰 單片機原理及應(yīng)用 機械工藝出版社19997 全國大學生電子設(shè)計競賽組委會編,全國大學生電子設(shè)計競賽獲獎作品精選(1994-1999)北京;北京理工大學出版社2003.38 何希才,姜余祥 新型穩(wěn)壓電源及其應(yīng)用,北京;國防工業(yè)出版社20019 楊振江 A/D,D/A轉(zhuǎn)換器接口技術(shù),西安;西安電子科技大學出版社199610趙景波 向華 Protel99SE應(yīng)用與實例教程。人民郵電出版社出版發(fā)行 200911吳鎮(zhèn)揚.數(shù)字信號處理M.北京:高等教育出版社,2004.9.12 石熊.DDS芯片AD9850的工作原理及其與單片機的接口.國外電子元器件,2001.5 附錄:硬件原理圖

注意事項

本文(正弦發(fā)生電路的設(shè)計畢業(yè)設(shè)計論文(論文))為本站會員(1666****666)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!