九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

搶答器課程設(shè)計(jì)

  • 資源ID:38692019       資源大?。?span id="24d9guoke414" class="font-tahoma">1.83MB        全文頁數(shù):27頁
  • 資源格式: DOC        下載積分:15積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要15積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

搶答器課程設(shè)計(jì)

課 程 設(shè) 計(jì) 說 明 書課程名稱: 單片機(jī)原理及應(yīng)用 設(shè)計(jì)題目: 搶答器設(shè)計(jì) 院 系: 電子信息與電氣工程學(xué)院 學(xué)生姓名: 學(xué) 號: 專業(yè)班級: 電子信息工程11級指導(dǎo)教師: 2012年 5月 18 日課程設(shè)計(jì)任務(wù)書設(shè)計(jì)題目搶答器設(shè)計(jì)學(xué)生姓名所在院系電子信息與電氣工程學(xué)院專業(yè)、年級、班電子信息工程11級設(shè)計(jì)要求:1具有電源開關(guān)及指示燈,有復(fù)位按鍵;2p0.0p0.6接一位數(shù)碼管,p.7用于顯示搶答選手號碼,經(jīng)三極管驅(qū)動(dòng)揚(yáng)聲器;3利用查詢方式擴(kuò)張8個(gè)外部中斷源,p1口接開關(guān)按鍵,代表8個(gè)中斷源,由74ls09組成優(yōu)先權(quán)選擇電路,作為8個(gè)選手的按鍵;4p2口接8只發(fā)光二極管,作為選手搶答指示燈;5P3.3接開關(guān)k10,做主持人按鍵。在任何情況下只有當(dāng)主持人按鍵按下時(shí),才允許選手搶答,否則禁止搶答,任何一位選手在主持人沒有按下按鍵的情況下?lián)尨?,沒有任何反映;學(xué)生應(yīng)完成的工作:1. 電路原理圖的繪制以及proteus的仿真;2. 程序的編寫,調(diào)試;3. 單片機(jī)硬件電路設(shè)計(jì)以及元器件的焊接組裝成型;4. 課程設(shè)計(jì)說明書的書寫;參考文獻(xiàn)閱讀:1 張毅剛,彭喜元,董繼成.單片機(jī)原理及應(yīng)用.高等教育出版社.2003.2 王兆安,劉進(jìn)軍.電力電子技術(shù).機(jī)械工業(yè)出版社.2009.3 謝斌.單片機(jī)應(yīng)用技術(shù).哈爾濱工業(yè)大學(xué)出版社.2010.4 徐晨,陳繼紅,王春明,徐慧.微機(jī)原理及應(yīng)用.高等教育出版社.2004.工作計(jì)劃:5.4課程設(shè)計(jì)任務(wù)書下達(dá) 5.5結(jié)合指導(dǎo)老師,完成硬件電路的設(shè)計(jì) 5.6程序編寫5.7進(jìn)行軟硬件聯(lián)機(jī)調(diào)試 5.85.9課程設(shè)計(jì)說明書的書寫 5.10領(lǐng)器件5.11焊接電路板 5.125.13程序的燒錄和電路板的調(diào)試 5.14交課程設(shè)計(jì)說明書和電路板任務(wù)下達(dá)日期:2012 年5月 7 日 任務(wù)完成日期:2012 年5月 18 日指導(dǎo)教師(簽名): 學(xué)生(簽名): 搶答器設(shè)計(jì)摘 要:本設(shè)計(jì)是8路智力搶答器,使用51系列單片機(jī),編寫應(yīng)用程序來實(shí)現(xiàn)智力搶答功能。 硬件設(shè)計(jì)使用的是51系列單片機(jī)中的89S52。硬件設(shè)計(jì)利用其中斷控制程序進(jìn)行搶答部分的處理,通過74LS09的控制去請求單片機(jī)外部的中斷,以達(dá)到顯示搶答的目的,硬件部分由電源模塊:把交流電轉(zhuǎn)換成+5伏的直流電,復(fù)位模塊:提供復(fù)位信號,時(shí)鐘頻率模塊:為單片機(jī)內(nèi)部提供時(shí)鐘信號,與門模塊,按鍵掃描模塊。軟件設(shè)計(jì)利用中斷系統(tǒng)的基本構(gòu)成原理編寫中斷服務(wù)程序,其信號由按鍵電路提供,由CPU響應(yīng)中斷,并輸出響應(yīng)。用到了查詢按鍵模塊、定時(shí)器模塊、顯示模塊、顯示組號模塊、報(bào)警模塊等。系統(tǒng)仿真用到了Keil和proteus軟件,用Proteus軟件畫出原理圖,然后單片機(jī)加載Keil所產(chǎn)生的后綴為.hex的文件進(jìn)行仿真。關(guān)鍵詞:AT89S52;搶答電路;復(fù)位電路;報(bào)警電路;時(shí)序控制目 錄1.設(shè)計(jì)背景 012.設(shè)計(jì)方案 022.1 硬件設(shè)計(jì)方案 012.2 軟件設(shè)計(jì)方案 023.方案實(shí)施 043.1 硬件方案實(shí)施 043.2 軟件方案實(shí)施 074.結(jié)果與結(jié)論 114.1 總體設(shè)計(jì)結(jié)果 114.2 總體結(jié)論 115.收獲與致謝 126.參考文獻(xiàn) 137.附件 147.1 元器件清單 147.2 硬件原理圖 157.3 仿真圖 167.4 硬件實(shí)物圖 167.5 程序清單 171. 設(shè)計(jì)背景二十世紀(jì)跨越了三個(gè)“電”的時(shí)代,即電氣時(shí)代、電子時(shí)代和現(xiàn)已進(jìn)入的電腦時(shí)代。不過,這種電腦,通常是指個(gè)人計(jì)算機(jī),簡稱PC機(jī)。它由主機(jī)、鍵盤、顯示器等部分組成。還有一類計(jì)算機(jī),這種計(jì)算機(jī)就是把智能賦予各種機(jī)械的單片機(jī)(亦稱微控制器)。顧名思義,這種計(jì)算機(jī)的最小系統(tǒng)只用了一片集成電路,即可進(jìn)行簡單運(yùn)算和控制。現(xiàn)在,這種單片機(jī)的使用領(lǐng)域已十分廣泛,如智能儀表、實(shí)時(shí)工控、通訊設(shè)備、導(dǎo)航系統(tǒng)、家用電器等。在知識競賽中,特別是做搶答題時(shí),在搶答過程中,為了更確切的知道哪一組或哪一位選手先搶答到題,必須要有一個(gè)系統(tǒng)來完成這個(gè)任務(wù)。若在搶答中,只靠人的視覺(或者是聽覺)是很難判斷出哪一組(或哪一個(gè)選手)先搶答到題的。利用單片機(jī)編程來設(shè)計(jì)搶答器,可以使以上問題得以解決,即使兩組的搶答時(shí)間相差幾微秒,也能輕松的分辨出哪一組(或哪個(gè)選手)先搶答到題的。早期的搶答器只由幾個(gè)三極管、可控硅、發(fā)光管等組成,能通過發(fā)光二極管的指示辯認(rèn)出選手號碼?,F(xiàn)在大多數(shù)搶答器均使用單片機(jī)(如MCS-51型)和數(shù)字集成電路,并增加了許多新功能,如選手號碼顯示、搶按前或搶按后的計(jì)時(shí)、選手得分顯示等功能。本課題利用89S52單片機(jī)及外圍接口實(shí)現(xiàn)的搶答系統(tǒng),利用單片機(jī)的外部中斷0和外部中斷1終端查詢的原理,將軟、硬件有機(jī)地結(jié)合起來,使得系統(tǒng)能夠正確地進(jìn)行運(yùn)行,同時(shí)使數(shù)碼管能夠正確地顯示選手號碼。用開關(guān)做鍵盤輸出,揚(yáng)聲器發(fā)生提示。232.設(shè)計(jì)方案2.1硬件設(shè)計(jì)方案根據(jù)課程設(shè)計(jì)要求可以畫出硬件電路原理圖,數(shù)碼管的a b c d e f g h與單片機(jī)的P0口的高七位相連接;P0.7口與蜂鳴器相連接;P1口接八個(gè)按鍵;P2口接八個(gè)LED燈分別指示八位選手。用以AT89S52為核心的單片機(jī)控制方案,通過相應(yīng)的程序,并通過按鍵來進(jìn)行電平識別,再由單片機(jī)輸出相應(yīng)的程序,并將相應(yīng)數(shù)值通過數(shù)碼來顯示。方案一:8路競賽搶答器,每組受控于一個(gè)搶答器健開關(guān),高電平表示搶答有效。設(shè)置主持人開關(guān)用于控制整個(gè)系統(tǒng)清0和搶答器有效開始控制的啟動(dòng)。每按下一次復(fù)位鍵時(shí),使鎖存器的控制端為高電平,若按鍵開關(guān)1-8中任何一個(gè)都沒按下,即對應(yīng)鎖存器的輸入端均為低電平,則此時(shí)8個(gè)輸出端均為低電平,對應(yīng)的發(fā)光二極管均不點(diǎn),表示搶答者正在準(zhǔn)備狀態(tài)。 按下復(fù)位鍵,鎖存器的控制端為高電平,若開關(guān)1-8中存在一個(gè)或幾個(gè)處于按下狀態(tài),即與之對應(yīng)的鎖存器的輸入端為高電平,此時(shí)與之對應(yīng)的鎖存器的輸出端立即為高電平,對應(yīng)的發(fā)光二極管被點(diǎn)亮。 只有每按下一次復(fù)位鍵,并在復(fù)位鍵抬起后,搶答才是有效地。系統(tǒng)具有第一搶答信號鑒別和鎖存的功能。在主持人將系統(tǒng)復(fù)位并使搶答有效開始后,第一搶答者按下?lián)尨鸢粹o,對應(yīng)的輸入引腳接高電平1?;蜷T電路使三極管VT1基極得到高電平,將鎖存器的輸入信號鎖存在了輸入端,輸入端的信號變化不再影響輸出端。對應(yīng)點(diǎn)亮的發(fā)光二極管指示出第一搶答者的組別,在顯示有效的組別的同時(shí),也同時(shí)采用蜂鳴器警示。方案1的電路原理圖如圖2.1所示。圖2.1 方案1原理圖方案二:在方案1的基礎(chǔ)上,利用兩片74LS09先把八個(gè)搶答信號各一組相與后,再把相與后的信號與另一組再次相與,最后把相與的結(jié)果的一個(gè)信號接到單片機(jī)的外部中斷0上,當(dāng)有搶答信號時(shí),產(chǎn)生一個(gè)外部中斷,CPU響應(yīng)中斷,通過查詢P1口執(zhí)行相應(yīng)的中斷處理程序,八個(gè)選手的優(yōu)先級可以通過軟件編程實(shí)現(xiàn),具體是把P1.7口放在終端處理程序的最前面,其他依次設(shè)置,通過順序查詢,實(shí)現(xiàn)選手的優(yōu)先權(quán)判斷。通過比較,方案二雖然多了兩片芯片,電路在焊接的時(shí)候需要跳過很多線,這給電路的線路的檢查帶來很大的麻煩,但是只需要設(shè)置兩個(gè)中斷的開通與關(guān)斷以及內(nèi)部查詢順序就可以設(shè)置八個(gè)搶答信號的優(yōu)先級,這就為編程的簡單明了提供了可能。因此選擇方案二。方案2的電路原理圖如圖2.2所示。圖2.2方案2原理圖2.2軟件設(shè)計(jì)方案.中斷的開通與關(guān)斷設(shè)計(jì)為達(dá)到在主持人未按下按鍵前搶答無效應(yīng)該設(shè)置外部中斷為開中斷,外部中斷0為關(guān)中斷。當(dāng)開始搶答信號發(fā)出后,開外部中斷0以接收選手搶答中斷信號。選手搶答后,開外部中斷1從而使主持人再次按下按鍵后可以開始下一次搶答。.數(shù)碼管的顯示采用靜態(tài)顯示,是指當(dāng)數(shù)碼管顯示某一字符時(shí),七段數(shù)碼管的對應(yīng)段的發(fā)光二極管被選中,在這種顯示方式下,每段LED都對應(yīng)一個(gè)單片機(jī)口線。靜態(tài)顯示主要的優(yōu)點(diǎn)是顯示穩(wěn)定,在發(fā)光二極管導(dǎo)通電流一定的情況下顯示器的亮度大,系統(tǒng)運(yùn)行過程中,在需要更新顯示內(nèi)容時(shí),CPU才去執(zhí)行顯示更新子程序,這樣既節(jié)約了CPU的時(shí)間,又提高了CPU的工作效率。其不足之處是在需要用于顯示的數(shù)碼管較多時(shí)占用硬件資源較多,每個(gè)LED數(shù)碼管需要獨(dú)占8條輸出線。隨著顯示器位數(shù)的增加,需要的I/O口線也將增加。.搶答信號指示燈對于這一位反光二極管的閃爍可以通過軟件程序的方法的編寫,交替送出高低電平就可以實(shí)現(xiàn)其閃爍。3. 方案實(shí)施3.1硬件方案實(shí)施 硬件電路框圖本系統(tǒng)采用AT89S52單片機(jī)作為控制核心,對按鍵開關(guān)送來的信號進(jìn)行分析處理,最終控制數(shù)碼管顯示相應(yīng)的數(shù)值。本系統(tǒng)主要的單元電路有單片機(jī)主控電路、鍵盤控制電路、LED顯示電路模塊等。系統(tǒng)硬件框圖如圖3.1所示。復(fù)位電路時(shí)鐘電路LED顯示電路蜂鳴器電路數(shù)碼管顯示電路電源電路 AT89S52單片機(jī)圖3.1 系統(tǒng)硬件框圖 單片機(jī)電路引腳各部分連接及功能本設(shè)計(jì)采用ATMEL 公司生產(chǎn)的單片機(jī)AT89S52 實(shí)現(xiàn)主要功能, AT89S52 的功能與80C51 功能相似。AT89S52 的各引腳功能情況為; P1 口( P1.0P1.7) 8 個(gè)引腳:P1.7 為最高位, P1.0 為最低位。在AT89S52不帶片外存儲器時(shí), P1 口可作為通用I/ O 口使用; P0.0 P0.7 :用于傳送CPU 的輸入/ 輸出數(shù)據(jù)。這時(shí)輸出數(shù)據(jù)可以得到鎖存,不需外接專用鎖存器,輸入數(shù)據(jù)可以得到緩沖,增加了數(shù)據(jù)輸入的可靠性; P3.7 引腳外接電阻、放大管以及揚(yáng)聲器; RST 復(fù)位線,外接電容、電阻,使AT89S52處于復(fù)位工作狀態(tài); XMT1 和XMT2 外接石英晶體和微調(diào)電容,為片內(nèi)振蕩電路輸入線,用來連接AT89S52 片內(nèi)OSC 的定時(shí)反饋網(wǎng)絡(luò); INT0/ INT1 :外部中斷0/ 1 輸入; 圖 3.2 單片機(jī)電路圖 時(shí)鐘頻率電路一般選用石英晶體振蕩器。此電路在加電大約延遲10ms后振蕩器起振,在XTAL2引腳產(chǎn)生幅度為3V左右的正弦波時(shí)鐘信號,其振蕩頻率主要由石英晶振的頻率確定。電路中兩個(gè)電容 C1,C2的作用有兩個(gè):一是幫助振蕩器起振;二是對振蕩器的頻率進(jìn)行微調(diào)。C1,C2的典型值為30PF。單片機(jī)必須在時(shí)鐘的驅(qū)動(dòng)下才能工作.在單片機(jī)內(nèi)部有一個(gè)時(shí)鐘振蕩電路,只需要外接一個(gè)振蕩源就能產(chǎn)生一定的時(shí)鐘信號送到單片機(jī)內(nèi)部的各個(gè)單元,決定單片機(jī)的工作速度。時(shí)鐘電路圖如圖3.2所示。圖 3.3 時(shí)鐘電路圖4 復(fù)位電路單片機(jī)的第9引腳RST為硬件復(fù)位端,只要將該端接持續(xù)4個(gè)機(jī)器周期的高電平即可實(shí)現(xiàn)復(fù)位,復(fù)位后單片機(jī)的各狀態(tài)都恢復(fù)到初始化狀態(tài),其電路圖如圖3.4所示:圖3.4 復(fù)位電路在設(shè)計(jì)當(dāng)中使用到了硬件復(fù)位和軟件復(fù)位兩種功能,由上面的硬件復(fù)位后的各狀態(tài)可知寄存器及存儲器的值都恢復(fù)到了初始值,軟復(fù)位實(shí)際上就是當(dāng)程序執(zhí)行完畢之后,將程序指針通過一條跳轉(zhuǎn)指令讓它跳轉(zhuǎn)到程序執(zhí)行的起始地址。 顯示電路 本設(shè)計(jì)中采用的數(shù)碼管是1位七段共陽極數(shù)碼管,其中a f段分別接到單片機(jī)的P0.0P0.6口,由單片機(jī)輸出的P0口數(shù)據(jù)來決定顯示值,還有八個(gè)一千歐姆的電阻,連接在P0口上,用作P0口的上拉電阻。 電源電路電源電路由橋堆2w10和穩(wěn)壓器CW7805構(gòu)成。電源電路主要用于為整個(gè)系統(tǒng)提供電源。我們?nèi)粘S秒姸际?20V的交流電,所以在設(shè)計(jì)電源時(shí)要先把交流電整流成直流,然后再經(jīng)過穩(wěn)壓管輸出整個(gè)系統(tǒng)所需的穩(wěn)定的直流電壓。整流主要采用2W10橋堆和電容濾波以得到基本穩(wěn)定的輸出電壓。穩(wěn)壓部分是采用常用的穩(wěn)壓管W7805得到穩(wěn)定的輸出正5V電壓。如圖3.5所示:圖3.5 電源電路圖 LED發(fā)光二極管顯示單片機(jī)的P2口每一位可以驅(qū)動(dòng)1個(gè)TTL型LS型負(fù)載,所以可以直接由P2口驅(qū)動(dòng)數(shù)碼管,P2口每一個(gè)管腳接一個(gè)發(fā)光二極管,由于采用的是陽極接5V電源的接法,所以需要限流電阻與發(fā)光二極管串聯(lián)。發(fā)光二極管允許通過的電流是3mA至10mA左右,為了使發(fā)光二極管亮度合適,所以,選擇了串聯(lián)1千歐電阻。 蜂鳴器蜂鳴器的發(fā)聲主要用于對有搶答時(shí)的報(bào)警信號,以提示主持人。蜂鳴器的發(fā)聲由三極管放大電壓、電流來驅(qū)動(dòng),其中三極管的基極接單片機(jī)的P0.7口,以提供基集導(dǎo)通電流,從而經(jīng)放大后達(dá)到蜂鳴器的發(fā)聲電壓、電流。其電路圖如圖3.6所示: 圖3.6 蜂鳴器電路3.2軟件方案實(shí)施 主程序流程圖對整個(gè)系統(tǒng)的功能分析可知,匯編語言程序分為三大部分:主持人未按允許搶答時(shí)沒有響應(yīng),主持人按鍵為外部中斷1,之后有搶答信號為外部中斷0。得到系統(tǒng)的主流程圖如圖3.7所示:初始化開始INT0為低電平?中斷初始化外部中斷1處理程序外部中斷0程序結(jié)束INT1為低電平?外部中斷1?圖 3.7 系統(tǒng)主流程圖 主要程序分析本次設(shè)計(jì)的主要程序包括主持人按鍵處理程序,選手搶答處理程序,鍵盤消抖延時(shí)程序以及蜂鳴器發(fā)聲時(shí)間和LED閃爍間隔時(shí)間程序。 按鍵掃描程序流程圖開外部中斷1有人搶答?P0.7低電平?P0.6低電平?鍵盤消抖數(shù)碼管顯示8、LED閃爍、蜂鳴器響一聲P0.5低電平?P0.4電平?P0.3電平?P0.2電平?P0.1電平?有中斷1?返回?cái)?shù)碼管顯示7、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示6、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示5、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示4、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示3、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示2、LED閃爍、蜂鳴器響一聲數(shù)碼管顯示1、LED閃爍、蜂鳴器響一聲中斷1處理程序圖 3.8按鍵掃描程序流程圖4. 結(jié)果與結(jié)論4.1總體設(shè)計(jì)結(jié)果在硬件設(shè)計(jì)之前,我們必須對所用單片機(jī)的內(nèi)部硬件結(jié)構(gòu)有一個(gè)系統(tǒng)的了解,知道該單片機(jī)內(nèi)有哪些功能資源;在設(shè)計(jì)程序時(shí),不能一次就將整個(gè)程序設(shè)計(jì)好,要分模塊,反復(fù)修改,逐步求精,不斷改進(jìn)是程序設(shè)計(jì)的必經(jīng)之路;要養(yǎng)成注釋程序的好習(xí)慣,一個(gè)程序的完美與否不僅僅是實(shí)現(xiàn)功能,而應(yīng)該讓人一看就能明白你的思路,我們組就是采用這樣的方法,取得很好的軟件模擬效果;在設(shè)計(jì)課程過程中遇到問題是很正常的,但我們應(yīng)該將每次遇到的問題記錄下來,并分析清楚,以免下次再碰到同樣的問題,在焊接好后,我們組的作品還不能工作,我們靜下心來用萬用表一步一步對硬件電路進(jìn)行排查,在確認(rèn)硬件的連接沒問題之后,我們對這電路原理圖仔細(xì)核對結(jié)果發(fā)現(xiàn)有個(gè)二極管沒接上拉電阻,結(jié)果因電流過大而燒毀,我們立即更換線路連接,同時(shí)串接入電阻,結(jié)果電源燈亮,數(shù)碼管顯示數(shù)字,但是仍是不能工作,再檢查電路元器件,結(jié)果發(fā)現(xiàn)74LS09連接的有問題,通過上網(wǎng)查資料,我們查到了該器件的詳細(xì)內(nèi)部電路圖以及引腳連接方式,于是我們只能把引腳連線拆掉,重新連接,在重新連接好后,電路能正常工作,但是美中不足的是報(bào)警電路不能工作,限于條件,我們只能止步于此,這即是本次課程設(shè)計(jì)的結(jié)果。4.2總體結(jié)論本搶答器采用采用2片74LS109實(shí)現(xiàn)與門功能,將8個(gè)輸入信號接入中斷0中,7段數(shù)碼管直接串聯(lián)一電阻接P0口,當(dāng)需要顯示相應(yīng)數(shù)字時(shí)直接給P0口相應(yīng)位以低電平,即可以實(shí)現(xiàn)功能,因此硬件電路簡單,實(shí)用。軟件部分應(yīng)用匯編語言編程,匯編語言的特點(diǎn)是程序的各個(gè)部分除了必要的信息交流外彼此之間相互獨(dú)立。這種結(jié)構(gòu)化方式可使程序?qū)哟吻逦? 便于使用、維護(hù)以及調(diào)試。我們組應(yīng)用匯編語言進(jìn)行程序編寫,應(yīng)用模塊化程序編程方法,程序清晰易懂,簡單明了。5. 收獲與致謝我在這一次搶答器的設(shè)計(jì)過程中,很是受益匪淺。通過對自己對單片機(jī)所學(xué)的知識的回顧,并充分發(fā)揮對所學(xué)知識的理解和對課程設(shè)計(jì)的思考及書面表達(dá),經(jīng)過自己不斷的努力以及各位指導(dǎo)老師的耐心指導(dǎo)和熱情幫助,本設(shè)計(jì)已經(jīng)基本完成。通過這次課程設(shè)計(jì),深刻地認(rèn)識到學(xué)好專業(yè)知識的重要性,也理解了理論聯(lián)系實(shí)際的含義,并且檢驗(yàn)了我的學(xué)習(xí)成果。雖然在這次的課程設(shè)計(jì)中對于知識的運(yùn)用和銜接還不是很熟練,但是我將在以后的學(xué)習(xí)中繼續(xù)努力、不斷完善。這將近一個(gè)月的課程設(shè)計(jì)是對過去所學(xué)知識的系統(tǒng)提高和擴(kuò)充的過程,為今后的學(xué)習(xí)打下了良好的基礎(chǔ)。通過這次課程設(shè)計(jì)我發(fā)現(xiàn),只有理論水平提高了;才能夠?qū)⒄n本知識與實(shí)踐相整合,理論知識服務(wù)于教學(xué)實(shí)踐,以增強(qiáng)自己的動(dòng)手能力。這個(gè)實(shí)驗(yàn)十分有意義 我獲得很深刻的經(jīng)驗(yàn)。通過這次課程設(shè)計(jì),我知道了理論和實(shí)際的距離,也知道了理論和實(shí)際結(jié)合的重要性,也從中得知了很多書本上無法得知的知識。我們的學(xué)習(xí)不但要立足于書本,以解決理論和實(shí)際教學(xué)中的實(shí)際問題為目的,還要以實(shí)踐相結(jié)合,理論問題即實(shí)踐課題,解決問題即課程研究,學(xué)生自己就是一個(gè)專家,通過自己的手來解決問題比用腦子解決問題更加深刻。學(xué)習(xí)就應(yīng)該采取理論與實(shí)踐結(jié)合的方式,理論的問題,也就是實(shí)踐性的課題。這種做法既有助于完成理論知識的鞏固,又有助于帶動(dòng)實(shí)踐,解決實(shí)際問題,加強(qiáng)我們的動(dòng)手能力和解決問題的能力。在這段時(shí)間里,各位老師嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度和熱忱的工作作風(fēng)令我十分欽佩,他們的指導(dǎo)使我受益非淺。同時(shí)實(shí)驗(yàn)室的開放也為我的設(shè)計(jì)提供了實(shí)習(xí)場地。在此對指導(dǎo)老師和實(shí)驗(yàn)室的老師表示深深的感謝。6. 參考文獻(xiàn)1 張毅剛,董繼成.單片機(jī)原理及應(yīng)用M.北京:高等教育出版社,2003.2 王兆安,劉進(jìn)軍.電力電子技術(shù)M.北京:機(jī)械工業(yè)出版社,2009.3 謝斌.單片機(jī)應(yīng)用技術(shù)M.哈爾濱:哈爾濱工業(yè)大學(xué)出版社,2010.4 徐晨,陳繼紅,徐慧.微機(jī)原理及應(yīng)用M.北京:高等教育出版社,2004.7. 附件7.1元器件清單器件名型號與規(guī)格數(shù)量單片機(jī)STC89S521晶振12MHz1瓷片電容20pF和0.33uF,0.1uF各2電解電容10uF和1000uF,220uF各1二極管1N40011LED指示燈9數(shù)碼管共陽極數(shù)碼管1位1三極管9013174LS092電阻4.7K8電阻2208電阻10K2電阻1K2電阻4708三端穩(wěn)壓器78051蜂鳴器1橋堆2W101插座40腳IC插座1開關(guān)撥動(dòng)開關(guān)1開關(guān)按鍵10萬用板17.2 硬件原理圖圖7.1 硬件原理圖7.3 仿真圖圖7.2 仿真圖7.4 實(shí)物圖圖7.3 實(shí)物圖7.5系統(tǒng)程序清單 ORG 0000H LJMP MAIN ORG 0003H LJMP IN0 ORG 0013H LJMP IN1 ORG 1000HMAIN: MOV SP, #60H LCALL ZDISP MOV A, #7FH MOV P1, A MOV A, #0FFH MOV P2, A CLR EX0 SETB EX1 SETB IT1 SETB PT1 SETB EA SJMP $;*主持人按鍵* IN1: SETB EX0 SETB IT0 SETB EA SETB PT1 MOV A, #40H MOV P1 ,A SETB P1.7 LCALL DELAY1S CLR P1.7 RETI;*搶答程序* IN0: SETB EX1 SETB IT1 SETB PT1 SETB EA CLR EX0 CLR IT0 MOV A, P0 LCALL DELAY CJNE A, P0,FINISH LCALL CLZFINISH: RETI;*按鍵掃描程序*CLZ: JB P0.7,K6 MOV A, #80H MOV P1, A MOV R4, #5LP7: CLR P2.7 LCALL DELAY1S SETB P2.7 LCALL DELAY1S DJNZ R4, LP7 AJMP INTR K6:JB P0.6,K5 MOV A,#0F8H MOV P1,A MOV R4,#5 LP6: CLR P2.6 LCALL DELAY1S SETB P2.6 LCALL DELAY1S DJNZ R4, LP6 AJMP INTR K5: JB P0.5,K4 MOV A,#82H MOV P1,A MOV R4,#5 LP5: CLR P2.5 LCALL DELAY1S SETB P2.5 LCALL DELAY1S DJNZ R4, LP5 AJMP INTR K4:JB P0.4,K3 MOV A,#92H MOV P1,A MOV R4,#5 LP4: CLR P2.4 LCALL DELAY1S SETB P2.4 LCALL DELAY1S DJNZ R4, LP4 AJMP INTR K3:JB P0.3,K2 MOV A,#99H MOV P1,A MOV R4,#5 LP3: CLR P2.3 LCALL DELAY1S SETB P2.3 LCALL DELAY1S DJNZ R4, LP3 AJMP INTR K2:JB P0.2,K1 MOV A,#0B0H MOV P1, A MOV R4, #5 LP2: CLR P2.2 LCALL DELAY1S SETB P2.2 LCALL DELAY1S DJNZ R4 ,LP2 AJMP INTR K1: JB P0.1,KEY MOV A,#0A4H MOV P1,A MOV R4,#5 LP1:CLR P2.1 LCALL DELAY1S SETB P2.1 LCALL DELAY1S DJNZ R4, LP1 AJMP INTR KEY: MOV A, #0F9H MOV P1, A MOV R4,#5 LP0:CLR P2.0 LCALL DELAY1S SETB P2.0 LCALL DELAY1S DJNZ R4 ,LP0 INTR: RET*鍵盤消抖延時(shí)程序*DELAY: MOV R2 ,#40H LOP0: MOV R3,#125LOP1: DJNZ R3,LOP1 DJNZ R2, LOP0 RET;*0.5S延時(shí)*DELAY1S: MOV R7,#20 LOOP3:MOV R6,#100 LOOP2:MOV R5,#250LOOP1: DJNZ R5 ,LOOP1 DJNZ R6, LOOP2 DJNZ R7, LOOP3 RET;*自檢*ZDISP: MOV A, #80H MOV P1, A MOV A ,#00H MOV P2 ,A LCALL DELAY1S RET END指導(dǎo)教師評語:課程設(shè)計(jì)報(bào)告成績: ,占總成績比例: 30% 課程設(shè)計(jì)其它環(huán)節(jié)成績:環(huán)節(jié)名稱: 考勤 ,成績: ,占總成績比例: 20% 環(huán)節(jié)名稱: 綜合 ,成績: ,占總成績比例: 50% 總 成 績: 指導(dǎo)教師簽字:年 月 日本次課程設(shè)計(jì)負(fù)責(zé)人意見:負(fù)責(zé)人簽字:年 月 日

注意事項(xiàng)

本文(搶答器課程設(shè)計(jì))為本站會員(1888****888)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!