九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)字功率放大器[共39頁(yè)]

  • 資源ID:46178562       資源大小:8.72MB        全文頁(yè)數(shù):38頁(yè)
  • 資源格式: DOC        下載積分:12積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要12積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說(shuō)明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)字功率放大器[共39頁(yè)]

1 BIT 全數(shù)字音頻功率放大系統(tǒng)電子科技大學(xué)微電子研究所2000/9/12(一)、概述一、 數(shù)字功放的意義及應(yīng)用數(shù)字變換技術(shù)是世紀(jì)發(fā)展的重要領(lǐng)域之一,較模擬變換技術(shù)更具有廣泛的應(yīng)用需求和強(qiáng)大的生命力。目前的視聽產(chǎn)品(如CD、LD、VCD、DVD、電腦音響、家庭影院等)和通訊產(chǎn)品(如手機(jī))的音頻功率放大系統(tǒng),均采用D/A變換技術(shù),它存在信號(hào)失真、效率低、抗干擾性差等問題;較之而言,數(shù)字功放是新一代高保真、低功耗的全數(shù)字功率放大系統(tǒng)。具有以下顯著優(yōu)點(diǎn):高保真、高效率、高過載能力與高功率儲(chǔ)備能力、功放和揚(yáng)聲器的匹配好、聲像定位好、升級(jí)換代容易、生產(chǎn)調(diào)試方便等,且與未來(lái)的全數(shù)字式音頻廣播、高清晰度電視的發(fā)展趨勢(shì)相匹配。既可采用現(xiàn)有的CD機(jī)(或DVD機(jī))、DAT(數(shù)字錄音機(jī))、PCM(脈沖編碼調(diào)制錄音機(jī))作為數(shù)字音源,又具備模擬音頻輸入接口,兼容現(xiàn)有的模擬音源,因此具有廣闊的市場(chǎng): 1、視聽產(chǎn)品市場(chǎng)數(shù)字功放高品質(zhì)的特性必將使其引起相關(guān)視聽產(chǎn)品的更新?lián)Q代,它將擁有上千億的市場(chǎng)。如家庭用CD、LD、VCD、DVD、電視機(jī)音響、電腦音響、家庭影院等;公共場(chǎng)所用音響系統(tǒng)如:會(huì)議室、音樂廳、電影院、廣場(chǎng)、火車站、機(jī)場(chǎng)用功放系統(tǒng)等等,這方面預(yù)計(jì)將有數(shù)千億的產(chǎn)值。1997年全國(guó)音響設(shè)備的整機(jī)銷售量及其實(shí)際市場(chǎng)統(tǒng)計(jì)數(shù)據(jù)如表1所示(單位:萬(wàn)臺(tái))。表1 1997年全國(guó)音響設(shè)備的整機(jī)銷售量及其實(shí)際市場(chǎng)汽車收音機(jī)收錄機(jī)音響彩電電路 我們僅家庭擁有情況來(lái)計(jì)算,按一個(gè)家庭擁有一套上述視聽產(chǎn)品,每套視聽產(chǎn)品用數(shù)字功放系統(tǒng)價(jià)值2000元,全國(guó)3億家庭,即是6000億元產(chǎn)值。2、便攜產(chǎn)品市場(chǎng)數(shù)字功放效率高、耗能少的特性必將使其廣泛應(yīng)用與便攜產(chǎn)品市場(chǎng)。如:移動(dòng)通訊產(chǎn)品(如手機(jī))、便攜式電腦、發(fā)音電子字典、復(fù)讀機(jī)、汽車火車等交通工具用音響、便攜式收音機(jī)、隨身聽、便攜式CD機(jī)等等。根據(jù)信息產(chǎn)業(yè)部計(jì)算機(jī)與微電子研究中心(CCID)的統(tǒng)計(jì),便攜式多媒體電腦的銷售量為29.8萬(wàn)臺(tái),銷售額58.7億元。多媒體電腦的銷售趨勢(shì)是每年以大于20%的速率增長(zhǎng),可見僅便攜式多媒體電腦一項(xiàng)便是一個(gè)十分巨大的市場(chǎng)。根據(jù)以上統(tǒng)計(jì)數(shù)字,按每臺(tái)便攜式多媒體電腦采用300元小功率數(shù)字音頻功放系統(tǒng)計(jì)算,則僅便攜式多媒體電腦用全數(shù)字小功率音頻功放系統(tǒng)每年擁有大約一億元的市場(chǎng)。3、未來(lái)的全數(shù)字系統(tǒng)市場(chǎng) 全數(shù)字化功放將使其與未來(lái)的數(shù)字音頻廣播、高清晰度數(shù)字電視產(chǎn)品融為一體,成為3G新興產(chǎn)業(yè)的重要組成部分,這方面的產(chǎn)值會(huì)在億以上。 多媒體電腦與上述家電產(chǎn)品的結(jié)合是今后電腦和家電產(chǎn)品發(fā)展的必然趨勢(shì)。目前國(guó)內(nèi)的上海市已經(jīng)在開展三網(wǎng)合一工程,將互聯(lián)網(wǎng)、有線電視網(wǎng)、通訊網(wǎng)合三為一。三網(wǎng)合一必須用到多媒體電腦,所以全數(shù)字多媒體電腦音頻功放今后必然融入上述家電,擁有更大的市場(chǎng)。二、 數(shù)字功放的特點(diǎn)1、高保真。數(shù)字功放的交越失真、失配失真和瞬態(tài)互調(diào)失真均小。晶體管在小電流時(shí)的非線性特性會(huì)引起模擬功放在輸出波形正負(fù)交叉處的失真(小信號(hào)時(shí)的晶體管會(huì)工作在截止區(qū),此時(shí)無(wú)電流通過,導(dǎo)致輸出嚴(yán)重失真)稱為交越失真,交越失真是模擬功放天生的缺陷;而數(shù)字功放只工作在開關(guān)狀態(tài),不會(huì)產(chǎn)生交越失真。模擬功放存在推挽對(duì)管特性不一致而造成輸出波形上下不對(duì)稱的失配失真,因此在設(shè)計(jì)推挽放大電路時(shí),對(duì)功放管的要求非常嚴(yán)格,即使如此也未必能夠做到完全對(duì)稱。而數(shù)字功放對(duì)開關(guān)管的配對(duì)無(wú)特殊要求,無(wú)須嚴(yán)格匹配;模擬功放為保證其電聲指標(biāo),幾乎無(wú)一例外都采用負(fù)反饋電路,在負(fù)反饋電路中,為抑制寄生振蕩,采用相位補(bǔ)償電路,從而會(huì)產(chǎn)生瞬態(tài)互調(diào)失真。數(shù)字功放在功率轉(zhuǎn)換上無(wú)須反饋電路,從而避免了瞬態(tài)互調(diào)失真。2、高效率,可達(dá)。由于數(shù)字功放采用開關(guān)放大電路,效率極高,可達(dá)(模擬功放一般僅為,甚至更低),在工作時(shí)發(fā)熱量非常小。功率器件均工作在開關(guān)狀態(tài),因此它基本上沒有模擬功放的靜態(tài)電流損耗,所有能量幾乎都是為音頻輸出而儲(chǔ)備,而且瞬態(tài)響應(yīng)好。3、過載能力與功率儲(chǔ)備能力強(qiáng)數(shù)字功放電路的過載能力遠(yuǎn)遠(yuǎn)高于模擬功放。模擬功放分為A類、B類或類等幾類功率放大電路,正常工作時(shí)功放管工作在線性區(qū);當(dāng)過載后,功放管工作在飽和區(qū),出現(xiàn)削頂失真,失真呈指數(shù)級(jí)增加,音質(zhì)迅速變壞。而數(shù)字功放在功率放大時(shí)一直處于飽和區(qū)和截止區(qū),只要功率管不損壞,失真度不會(huì)迅速增加。4、功放和揚(yáng)聲器的匹配好由于模擬功放中采用的功放管內(nèi)阻較大,所以在匹配不同阻值的揚(yáng)聲器時(shí),模擬功放電路的工作狀態(tài)會(huì)受到負(fù)載(揚(yáng)聲器)大小的影響。而數(shù)字功放的輸出電阻不超過.歐姆(開關(guān)管的內(nèi)阻加濾波器內(nèi)阻),相對(duì)于負(fù)載(揚(yáng)聲器)的阻值(歐姆)完全可以忽略不計(jì),因此不存在于揚(yáng)聲器的匹配問題。5、聲像定位好對(duì)模擬功放來(lái)說(shuō),輸出信號(hào)和輸入信號(hào)之間一般都存在著相位差,而且在輸出功率不同時(shí),相位失真亦不同。而數(shù)字功放采用對(duì)數(shù)字信號(hào)進(jìn)行放大,使輸出信號(hào)與輸入信號(hào)完全一致,相移為零,因此聲像定位準(zhǔn)確。6、生產(chǎn)調(diào)試方便模擬功放存在著各級(jí)工作點(diǎn)的調(diào)試問題,不利于大批量生產(chǎn)。而數(shù)字功放大部分為數(shù)字電路,一般不需要調(diào)試即可正常工作,特別適合大規(guī)模生產(chǎn)。三、 國(guó)內(nèi)外技術(shù)發(fā)展?fàn)顩r及特征國(guó)外對(duì)數(shù)字音頻功率放大器領(lǐng)域進(jìn)行了二十年的研究。在六十年代中期,日本研制出8bit的數(shù)字音頻功率放大器;1983年,國(guó)外提出了D類(數(shù)字)PWM功率放大器的基本結(jié)構(gòu)。但是這些功放僅能實(shí)現(xiàn)低位D/A功率轉(zhuǎn)換,若要實(shí)現(xiàn)16bit、44.1kHz采樣的功率放大器,其末級(jí)時(shí)鐘則約需2.8GHz,顯然無(wú)法實(shí)現(xiàn)。此后,研究的焦點(diǎn)在于降低其時(shí)鐘頻率。近年來(lái)隨著超大規(guī)模集成電路(VLSI)技術(shù)的進(jìn)步,在數(shù)字音頻小信號(hào)處理技術(shù)方面取得了顯著的進(jìn)步,主要解決了多級(jí)噪聲整形技術(shù)、比特流技術(shù)、CIRC解碼和糾錯(cuò)處理技術(shù),內(nèi)插和靜音處理技術(shù)、超取樣數(shù)字濾波技術(shù)等等。在這方面國(guó)外大公司在80年代末90年代初開始推出其IC芯片產(chǎn)品。如:松下公司的MN系列、AD公司的ADSP2100系列、T&T公司的DSP32系列、摩托羅拉公司的DSP5600系列等等。目前,荷蘭的PHILIPS公司與日本的SHARP公司均研制出了 1BIT 數(shù)字功放并成功投放市場(chǎng);國(guó)內(nèi)則有天奧集團(tuán)公司的1BIT 數(shù)字功放也于近期正式投放市場(chǎng)。天奧公司的 1BIT 數(shù)字功放在數(shù)字信號(hào)處理部分采用FPGA芯片及超取樣數(shù)字濾波及-調(diào)制等電路。四、 本電路特點(diǎn)本電路為 1BIT 全數(shù)字功率放大電路,與國(guó)內(nèi)現(xiàn)有的產(chǎn)品相比,具有以下特點(diǎn):1、 采用自行開發(fā)有自主知識(shí)產(chǎn)權(quán)的超大規(guī)模集成電路。該電路采用8倍超取樣數(shù)字濾波,多級(jí)噪聲整形和-調(diào)制,13級(jí)到24級(jí)PWM信號(hào)輸出的方案,保證了整個(gè)系統(tǒng)的頻響特性。2、 可兼容不同BIT數(shù)和不同格式數(shù)字音頻信號(hào)。數(shù)字信號(hào)選擇器可實(shí)現(xiàn)對(duì)數(shù)字音頻信號(hào)BIT位數(shù)識(shí)別(16BIT-24BIT),接口格式識(shí)別,系統(tǒng)取樣率識(shí)別等功能。3、 功放級(jí)采用了自行研制的數(shù)字功放專用高速平衡橋驅(qū)動(dòng)電路。該電路采用了先進(jìn)的窄脈沖及死區(qū)技術(shù),為系統(tǒng)高速穩(wěn)定的運(yùn)作提供了有力的保障。(二)、1BIT全數(shù)字音頻功率放大器方案全數(shù)字音頻功放是直接通過光纖和數(shù)字同軸電纜從數(shù)字音源接口接收數(shù)字PCM音頻信號(hào),輸入模擬音頻信號(hào)時(shí)先經(jīng)過內(nèi)置的A/D轉(zhuǎn)換成數(shù)字信號(hào)后在進(jìn)行處理,在整個(gè)信號(hào)處理和功率放大過程中,全部采用數(shù)字方式,只有在功率放大后為了推動(dòng)音箱才轉(zhuǎn)化為模擬信號(hào)。一、技術(shù)性能38輸入接口 :I2R ,S/P,模擬信號(hào)比特字長(zhǎng) :16BIT 24BIT頻率響應(yīng) :20Hz 20KHz信 噪 比 : 96dB (16BIT) 總諧波失真:0.02% (THD+N) 動(dòng)態(tài)范圍 :98dB 146dB (1624BIT) 互調(diào)失真 :0.04% 負(fù)載阻抗 :4 8二、工作原理經(jīng)分析比較給出1BIT全數(shù)字音頻功率放大器方案,其框圖見圖1圖1 1BIT全數(shù)字音頻功率放大器框圖 本方案允許數(shù)字音頻信號(hào)和模擬信號(hào)輸入。模擬信號(hào)輸入時(shí)經(jīng)過低通濾波后進(jìn)入ADC完成AD轉(zhuǎn)換后進(jìn)行編碼,最后進(jìn)入數(shù)字信號(hào)選擇器。該功能可由CS8402A 和CS5390完成。 數(shù)字信號(hào)選擇器可完成對(duì)多路數(shù)字信號(hào)的選擇。選中的多BIT數(shù)字音頻信號(hào)進(jìn)入數(shù)字信號(hào)接收和轉(zhuǎn)換電路。完成對(duì)數(shù)字音頻信號(hào)BIT位數(shù)識(shí)別(16BIT-24BIT),接口格式識(shí)別,系統(tǒng)取樣率識(shí)別,同軸復(fù)合音頻數(shù)碼信號(hào)轉(zhuǎn)換串行音頻數(shù)字信號(hào)等功能。該部分由YM3623B或類似的CS8412,CS8414,TDA1315電路完成。 數(shù)字信號(hào)處理主要完成將多BIT信號(hào)轉(zhuǎn)換成1BIT信號(hào),并以PWM形式輸出。該電路是整個(gè)系統(tǒng)的核心。貴公司寄來(lái)的材料中采用SM5871(IC17)電路實(shí)現(xiàn),但迄今我們尚未查到該電路。我們擬采用SM5872完成其功能,進(jìn)一步考慮到改進(jìn)數(shù)字信號(hào)處理算法以提高整機(jī)性能和降低成本的需要,我們正著手自行開發(fā)有自主知識(shí)產(chǎn)權(quán)的超大規(guī)模集成電路。該電路采用8倍超取樣數(shù)字濾波,多級(jí)噪聲整形和-調(diào)制,13級(jí)到24級(jí)PWM信號(hào)輸出的方案。其功能與SM5872一致,且指標(biāo)將優(yōu)于SM5872。詳細(xì)方案和與SM5872的指標(biāo)比較將在專門的技術(shù)報(bào)告中給出。 1BIT功放級(jí)是整個(gè)系統(tǒng)的另一個(gè)核心部分,采用本單位研究成果“D類功放專用全橋驅(qū)動(dòng)電路和平衡橋電路”完成。該電路采用了窄脈沖電平位移技術(shù),開關(guān)噪聲抑制技術(shù)和提高功率橋可靠性的死區(qū)產(chǎn)生技術(shù)。 低通濾波器采用四階巴特沃斯低通濾波器,用于將大功率數(shù)字脈沖信號(hào)轉(zhuǎn)換為模擬音頻信號(hào)。巴特沃斯低通濾波器的特點(diǎn)是帶內(nèi)平坦度高,從而使得輸出音頻信號(hào)幅頻特性較好。三、仿真要求仿真工作重點(diǎn)在于對(duì)影響整機(jī)性能指標(biāo)的數(shù)字信號(hào)處理部分、1BIT功放部分和輸出級(jí)低通濾波部分進(jìn)行分析。其中數(shù)字處理部分的方案和算法將很大程度上決定了信噪比的重要參數(shù);1BIT功放部分和輸出級(jí)低通濾波部分的電路設(shè)計(jì)和優(yōu)化是進(jìn)一步提高整機(jī)指標(biāo)的關(guān)鍵,因此應(yīng)將上述幾部分聯(lián)合起來(lái)仿真分析。(三)、模擬信號(hào)的低通濾波部分設(shè)計(jì)與仿真一、.原理分析低通濾波器的主要作用:削弱高次諧波及頻率較高的干擾和噪音。有補(bǔ)償作用的反相放大器的主要作用:防止自激振蕩和反相放大。 輸入信號(hào)低通濾波的原理圖為圖2:低通低通inmx out低通 反相放大xz低通in outmx圖2 低通濾波原理圖輸入信號(hào)()經(jīng)過二次低通濾波輸出信號(hào)為,。比較,得,進(jìn)行反相放大,得信號(hào)。,。對(duì),進(jìn)行有源低通濾波,得輸出信號(hào)。二、器件參數(shù)1、TL071,TL072,TL074低噪聲JFET輸入運(yùn)算放大器,為了低輸入失調(diào)電壓,每個(gè)內(nèi)部補(bǔ)償?shù)倪\(yùn)算放大器,該器件所表現(xiàn)的低噪聲的低諧波失真使之成為高保真音頻放大器應(yīng)用的理想器件。 .低輸入噪聲電壓:18nV/(典型) .低諧波失真:0.001%(典型) .低輸入偏置和失調(diào)電流 .高輸入阻抗:1012(典型) .高轉(zhuǎn)換速率:13V/s(典型) .寬增益帶寬:4.0MHz(典型).低電源電流:每個(gè)放大器1.4mA2、 AD711美國(guó)模擬器件,同類替代品LF411系列。LF411C,LF412C低失調(diào),低漂移JFET輸入運(yùn)算放大器。 .低輸入失調(diào)電壓最大值:2.0mV最大值(單) 3.0mV最大值(雙) .低溫度系數(shù)的輸入失調(diào)電壓:10v/ .低輸入失調(diào)電壓:20pV .低輸入偏置電流:60pA.低輸入噪聲電壓:18nV/ .低輸入噪聲電流:0.01pA/ .低的總諧波失真:0.05% .低的電源電流:2.5mA .高輸入電阻:1012 .寬的增益帶寬:8.0MHz .高轉(zhuǎn)換速率:25V/s (典型值) .快速建立時(shí)間:1.6s (在0.01%內(nèi))三、電路分析1、有源低通濾波器如圖3所示: 圖3 有源低通濾波器 注:實(shí)際仿真時(shí)的電容值為800pF2、有補(bǔ)償作用的反相放大器如圖4所示:圖4 有補(bǔ)償作用的反相放大器 注:實(shí)際仿真時(shí)的電容值為1nF四、仿真波形1、 0時(shí)波形圖為圖5、6、7、8圖5圖6圖7圖8(3)時(shí)波形圖為 圖9、10、11、12圖9圖10圖11 圖12五、仿真參數(shù)頻譜特性分析:F(Hz)20505001k5k8k10k13kOut(mv)4.504.504.504.505.005.506.007.00X(mv)50.050.050.050.053.056.059.068.011.111.111.111.110.610.29.99.720lg|Au|20.90620.90620.90620.90620.50620.15619.85119.748相移0.0000.0000.0000.0000.0500.0720.0800.0884F(Hz)15k18k20k23k25k30k50kOut(mv)7.508.709.5010.711.514.023.5X(mv)66.070.072.075.076.081.094.08.88.17.67.06.65.84.020lg|Au|18.89018.11217.59216.91316.40215.24712.042相移0.0900.09360.0880.08280.0800.0720.035頻譜圖如圖13,相移圖如圖14所示: 圖13頻譜圖 圖14 相移圖 (四)、數(shù)字信號(hào)處理部分設(shè)計(jì)與仿真一、基本原理數(shù)字信號(hào)處理芯片設(shè)計(jì)包括接口電路、超采樣數(shù)字濾波器、多級(jí)噪聲整形(MASH)、PWM轉(zhuǎn)換和時(shí)鐘控制部分等幾個(gè)功能塊。系統(tǒng)設(shè)計(jì)要求:輸 入:16比特,44.1KHz串行數(shù)據(jù)信號(hào);左右聲道同步信號(hào);位時(shí)鐘同步信號(hào)。串并行變換器:輸入以上三個(gè)信號(hào),輸出16比特,44.1KHz的并行信號(hào),同時(shí)分解出左右聲道信號(hào)。并以此為數(shù)字濾波器的輸入。數(shù)字濾波器 :輸入以上并行信號(hào),輸出20比特,44.1KHz8352.8KHz的并行信號(hào),并以此作為多級(jí)噪聲整形系統(tǒng)的輸入。多級(jí)噪聲整形部分:輸入以上并行信號(hào),輸出4比特,44.1KHz16705.6KHz的并行信號(hào)(低頻信噪比比較高),并以此作為PWM產(chǎn)生電路的輸入。PWM產(chǎn)生電路:輸入以上并行信號(hào),輸出PWM信號(hào)。時(shí) 鐘 電 路:包括一個(gè)倍頻器,輸入位時(shí)鐘信號(hào),經(jīng)過倍頻和分頻,得到各個(gè)部分所需的同步時(shí)鐘。整個(gè)電路的具體框圖如圖15所示。串并行變換數(shù)字濾波器MASHPWM產(chǎn)生電路時(shí)鐘控制同步電路PWM波 輸出datalrclkbclk圖15 數(shù)字信號(hào)處理芯片系統(tǒng)設(shè)計(jì) 二、仿真及綜合 仿真工作包括VHDL功能仿真(數(shù)字仿真)、數(shù)?;旌戏抡?、系統(tǒng)綜合、后仿真結(jié)果等幾個(gè)部分。詳細(xì)的模擬分析結(jié)果在專門的技術(shù)報(bào)告中給出。1、 VHDL功能仿真(數(shù)字仿真) 數(shù)字音頻處理集成電路的VHDL語(yǔ)言描述(摘要)如下:-D_audio.vhdlibrary IEEE;use IEEE.std_logic_1164.all;entity digital_audio isport(data_in:instd_logic_vector(15 downto 0);clk:inSTD_LOGIC;clr:instd_logic;data_out:outstd_logic);end digital_audio;architecture data_flow of digital_audio iscomponent sdf -數(shù)字濾波器,Port(data_in:instd_logic_vector(15 downto 0);clk:instd_logic;data_out:outstd_logic_vector(19 downto 0);end component;component clock -時(shí)鐘port(clk384:inSTD_LOGIC; clk4:outSTD_LOGIC);end component;component mash -多級(jí)噪聲整形port(data_in:inSTD_LOGIC_VECTOR(19 downto 0);data_out:outSTD_LOGIC_VECTOR(3 downto 0);end component;component convert -PWM 生成電路end component; beginend if;end if;end process;end rtl;圖16 數(shù)字仿真結(jié)果圖16給出數(shù)字仿真結(jié)果示例。從波形可以看出,在信號(hào)增大期間,脈沖寬度是逐漸增大的,但又不同于對(duì)模擬信號(hào)直接進(jìn)行調(diào)制的PWM波形,它在逐漸增大的過程中又有微小的波動(dòng)。這也正驗(yàn)證了多級(jí)噪聲整形的效果。即是把噪聲從低頻段趕到高頻段,從圖上看就是通過加入高頻的波動(dòng)來(lái)提高低頻的信噪比。(五)、功放級(jí)電路設(shè)計(jì)與仿真一、功放級(jí)電路原理 功放級(jí)電路主要由我們自行設(shè)計(jì)的D類功放專用全橋驅(qū)動(dòng)電路和平衡橋電路組成。全橋驅(qū)動(dòng)電路采用了先進(jìn)的窄脈沖和死區(qū)技術(shù),具有過/欠壓等智能保護(hù)功能,最大程度的降低了自身功耗,保證了系統(tǒng)的穩(wěn)定性;平衡橋電路考慮了系統(tǒng)浪涌保護(hù)及橋臂間延遲時(shí)間匹配。1、 全橋驅(qū)動(dòng)電路我們?cè)O(shè)計(jì)的全橋驅(qū)動(dòng)電路的電路結(jié)構(gòu)可分為兩個(gè)部分:一部分為驅(qū)動(dòng)電路部分;另一部分為控制與保護(hù)電路部分。根據(jù)電路內(nèi)部各部分在功能上的差別與聯(lián)系,我們可以把該電路大體分成十六級(jí)單元子電路。其中主要的子電路有:輸入電路(INPUT CIRCUIT)、死區(qū)產(chǎn)生電路(DEADTIME GENERATOR CIRCUIT)、脈沖發(fā)生電路(PULSE GENERRATOR CIRCUIT)、電平位移電路(SHIFTER CIRCUIT) 、脈沖合成電路(PULSE FUSION CIRCUIT)等。我們?cè)谠擈?qū)動(dòng)電路的IC研制中,A、提出了新型電導(dǎo)調(diào)制功率器件的非準(zhǔn)靜態(tài)輸運(yùn)模型,對(duì)具有抽出結(jié)構(gòu)電導(dǎo)調(diào)制功率器件的瞬態(tài)輸運(yùn)過程進(jìn)行了理論分析和實(shí)驗(yàn)研究;B、提出了一種新的亞微米級(jí)局域壽命控制技術(shù)(低能He注入壽命控制技術(shù)),用于提高橫向電導(dǎo)調(diào)制功率器件的開關(guān)速度。該技術(shù)有兩方面的作用:一是在漂移區(qū)中引入局域短壽命區(qū),通過優(yōu)化設(shè)計(jì)使得VFTOFF折衷關(guān)系最優(yōu)。其版圖如圖17所示: 圖17 全橋驅(qū)動(dòng)芯片版圖圖19 脈沖發(fā)生器產(chǎn)生的下降波形圖20 高端輸出的驅(qū)動(dòng)波形圖21 比較器輸出的波形圖18 脈沖發(fā)生器產(chǎn)生的上升波形*驅(qū)動(dòng)電路實(shí)際測(cè)試波形圖22 高端輸出上升沿延遲圖23 高端輸出下降沿延遲二、功放模塊具體參數(shù)參數(shù)單位最小值典型值最大值工作電壓V81520高端電壓V860100上升沿延遲ns110下降沿延遲ns80橋間延遲匹配ns80輸出峰值電流A2靜態(tài)工作電流A0.5輸入信號(hào)高電平V3520輸入信號(hào)低電平V003功耗W0.50.81.5工作頻率Hz300k500k2、 平衡橋電路在平衡橋電路設(shè)計(jì)中,我們選擇了與驅(qū)動(dòng)電路相匹配的功率VDMOS器件構(gòu)成橋體,并對(duì)以下幾個(gè)方面的問題作了考慮。A、系統(tǒng)浪涌保護(hù)B、續(xù)流保護(hù)C、橋臂間時(shí)間延遲匹配三、功放級(jí)電路仿真結(jié)果1、 整體功能仿真圖24如圖24所示:輸入是頻率為500kHz標(biāo)準(zhǔn)TTL信號(hào),輸出為頻率相同、060V的方波信號(hào),電路較好地實(shí)現(xiàn)了放大功能。 圖25 上升沿延遲 圖26 下降沿延遲從圖25、26可知,電路上升、下降延遲均在40ns左右,由于仿真無(wú)法考慮一些實(shí)際電路中的分布參數(shù),故與實(shí)際值存在一定的差異。2、 輸入電路(INPUT CIRCUIT)仿真圖27如圖27所示,輸入是08V,500KHz的方波信號(hào),經(jīng)輸入電路轉(zhuǎn)化成同頻率015的方波信號(hào),由此看出本級(jí)子電路的主體功能為初級(jí)電平轉(zhuǎn)移。3、 死區(qū)產(chǎn)生電路(DEADTIME GENERATOR CIRCUIT)圖28如圖28所示,V(12)、V(13)分別為高端和低端的輸入信號(hào),兩路信號(hào)彼此之間反相。后級(jí)的平衡橋電路中高/低端功率器件正常工作時(shí)電流很大,在開關(guān)過程中,只要有一小段共同導(dǎo)通時(shí)間均會(huì)產(chǎn)生嚴(yán)重的后果,為了避免高低端功率器件出現(xiàn)同時(shí)導(dǎo)通而發(fā)生燒毀,我們引入了死區(qū)概念,對(duì)兩路輸入信號(hào)作了死區(qū)處理,死區(qū)時(shí)間約為100ns。4、脈沖發(fā)生電路(PULSE GENERRATOR CIRCUIT)圖29為降低系統(tǒng)功耗,本電路采用了先進(jìn)的窄脈沖技術(shù),如圖29所示,輸入信號(hào)V(2)經(jīng)過窄脈沖產(chǎn)生電路后被轉(zhuǎn)化為兩脈沖寬度約100ns的兩路窄脈沖信號(hào),一路是上升沿窄脈沖V(3),另一路是下降沿窄脈沖V(4)。在后級(jí)電路的工作過程中,均是以此窄脈沖信號(hào)作為運(yùn)算和處理信號(hào),直至復(fù)合驅(qū)動(dòng)功率器件。5、 脈沖合成電路(PULSE FUSION CIRCUIT)圖30 如圖30所示,本子電路的主要功能是將經(jīng)運(yùn)算和處理的窄脈沖信號(hào)復(fù)合成原輸入信號(hào)以驅(qū)動(dòng)功率器件。圖中的V(4)、V(5)分別為上升沿和下降沿窄脈沖,V(6)為合成后的方波信號(hào)。6、 死區(qū)對(duì)電路系統(tǒng)頻響特性的影響為提高系統(tǒng)工作的穩(wěn)定性,我們?cè)陔娐吩O(shè)計(jì)中采用了死區(qū)產(chǎn)生電路,以保障功率器件的正常工作。而死區(qū)的設(shè)計(jì)不可避免的會(huì)對(duì)原信號(hào)產(chǎn)生一定的失真,為此,我們特別做了死區(qū)電路對(duì)系統(tǒng)頻響特性影響的仿真。如圖31、32、33、34所示: 圖31 無(wú)死區(qū)時(shí)PWM產(chǎn)生信號(hào) 圖32 50ns死區(qū)時(shí)PWM信號(hào) 圖33 無(wú)死區(qū)時(shí)系統(tǒng)頻譜圖 圖34 50ns死區(qū)時(shí)系統(tǒng)頻譜圖 由以上四圖分析可知,雖然死區(qū)電路會(huì)產(chǎn)生失真,但較短時(shí)間的死區(qū)(如50ns)不會(huì)對(duì)系統(tǒng)頻響特性產(chǎn)生較大影響,也不會(huì)產(chǎn)生相應(yīng)的高頻諧波分量。因此,綜合考慮,我們?cè)陔娐吩O(shè)計(jì)中將采用此技術(shù)。(六)、輸出濾波網(wǎng)絡(luò)設(shè)計(jì)與仿真 本級(jí)電路為數(shù)字功放的輸出級(jí),即是對(duì)功放輸出的信號(hào)進(jìn)行解調(diào)的濾波器部分。 關(guān)于輸出濾波網(wǎng)絡(luò)的頻幅特性, 相移等具體參數(shù)分析在技術(shù)報(bào)告中給出。一、電路要求與仿真要求 輸入Vin1,Vin2為兩個(gè)反相的PWM波,兩個(gè)PWM波周期T相等,頻率均為f0=44,116k,調(diào)制度m=0.5,Vmax=60v,Vmin=0v。輸出V0ut1,Vout2為解調(diào)PWM波而得到的音頻波形,此即為本電路的功能。要求輸出的波的頻帶范圍為2020kHz,H(jc)>0.9,Hj(6c)<0.01。(其中c=2f0)二、電路的原理 此電路模型為無(wú)源的考爾型濾波器,運(yùn)用的濾波方式為巴特沃斯低通與切貝雪夫低通,都能得到較好的頻譜特性、噪聲特性及容差特性。三、仿真 我們對(duì)自行設(shè)計(jì)的濾波電路(四階巴特沃斯濾波器、四階切貝雪夫?yàn)V波器、五階巴特沃斯濾波器、六階巴特沃斯濾波器)進(jìn)行頻譜分析與相移分析,分析結(jié)果如下: 圖35 四階巴特沃斯濾波器相移與頻譜圖圖36 四階切貝雪夫?yàn)V波器頻譜與相移圖圖37 五階巴特沃斯頻譜與相移圖 圖38 六階巴特沃斯頻譜與相移圖 原方案濾波電路分析的頻譜、相移如圖39:圖39 原方案濾波器頻譜與相移圖 比較上面五種濾波器方案,從頻譜、相移來(lái)看,以圖35頻譜相移效果最好,現(xiàn)就對(duì)圖35的濾波器進(jìn)行噪聲分析、容差分析,進(jìn)而又對(duì)其通頻帶內(nèi)10kHz、20kHz的音頻波進(jìn)行PWM解調(diào)濾波,具體分析結(jié)果如下:1、 噪聲分析與容差分析: 圖 40 噪聲分析結(jié)果 從圖40可以看出,本濾波器噪聲對(duì)濾波影響很小,為10-19 數(shù)量級(jí)。 圖 41 濾波器電容容差分析結(jié)果 圖41給出了濾波器的電容容差為15%時(shí)進(jìn)行的蒙特卡羅分析(Monte Carlo)的容差結(jié)果,五條曲線反應(yīng)了電容的一個(gè)標(biāo)稱值與四個(gè)隨機(jī)樣值的頻譜特性。電容容差分析結(jié)果滿足我們要求。 圖 42 濾波器的電感容差分析結(jié)果圖42反映了電感的容差在20%內(nèi)變化時(shí)的分析結(jié)果,跳變最大的曲線即為最壞情況的頻響特性。2、10kHz、20kHz 濾波分析: 圖43 攜帶10khz音頻波的PWM波經(jīng)濾波后的波形 圖44 攜帶20khz的PWM波經(jīng)濾波后的圖形 圖43、44反映了濾波的真實(shí)情況,在開始一段時(shí)間(圖上示值小于100us)內(nèi),濾波網(wǎng)絡(luò)吸收能量使波形失真,這是正常的。 總體來(lái)說(shuō),四階巴特沃斯濾波器完全可以滿足要求。(七)、 電源設(shè)計(jì)與仿真 一、電源穩(wěn)態(tài)特性仿真分析1、 本電源部分參數(shù)如下:參數(shù)單位最小值典型值最大值源電壓范圍V160220280輸入頻率范圍HZ475063工作溫度-102760抗電強(qiáng)度KV1.5紋波噪聲輸出%0.51存儲(chǔ)溫度-102785電源效率%802、電源的源電壓效應(yīng)仿真結(jié)果 本電源的源電壓允許波動(dòng)范圍為28%,即輸入電壓在160V280V的范圍內(nèi)。下面,我們分別給出了電源在輸入電壓極值點(diǎn)的仿真輸出波形及其特征。 輸入為160V時(shí)的仿真結(jié)果 圖45 輸入電壓為160V 時(shí)的輸出波形如圖45所示,輸入電壓為160V時(shí)的輸出電壓為5.0V,輸出相對(duì)紋波電壓約為27.829mV,紋波系數(shù)約為0.51%。 輸入為280V時(shí)的仿真結(jié)果 圖46 輸入為280V時(shí)的輸出波形如圖46所示,電源在輸入為280V時(shí),輸出電壓升高為5.3V左右,輸出紋波系數(shù)也發(fā)生了變化,約為 0.78%。3、電源負(fù)載效應(yīng)仿真結(jié)果 圖47 輸入電壓為220V,負(fù)載量為1A時(shí)的輸出波形 圖47給出了電源負(fù)載為1A時(shí)的電壓輸出結(jié)果,此時(shí)的電壓輸出5.014V ,紋波電壓19.577mv,紋波含量為0.39%,較小,電源的負(fù)載效應(yīng)比較穩(wěn)定。4、電源穩(wěn)態(tài)分析數(shù)據(jù)表輸入電壓(V)輸出電壓(V)輸入電壓調(diào)整率( % )輸出電壓變化率(%)負(fù)載量(OHM)負(fù)載調(diào)整率(%)輸出紋波系數(shù)(%)2205.01400500.392005.112-91.91500.541805.198-183.67500.531605.273-275.16500.662405.25294.75500.592605.291185.52500.552805.257274.85500.512205.04400.650-9000.352205.05700.8610-1000.462205.25104.737-400.552205.32306.162.5500.612205.37206.241800.78表中數(shù)據(jù)顯示:當(dāng)負(fù)載固定,源電壓發(fā)生變化時(shí),輸出電壓也伴隨有不同程度的變化;當(dāng)源電壓固定,負(fù)載發(fā)生變化時(shí)輸出也發(fā)生變化,但總體上變化不大,基本上都穩(wěn)定在5.2V左右,不影響電路的整體應(yīng)用。 二、本電源動(dòng)態(tài)特性仿真分析1、源電壓階躍情況分析結(jié)果 圖48 輸出電壓階躍瞬態(tài)特性(源電壓從220V躍變到160V) 圖49 輸出階躍瞬態(tài)特性(源電壓從160V躍變到280V)如圖48、49所示,分別給出了源電壓發(fā)生躍變時(shí)的輸出電壓波形,源電壓的躍變對(duì)電源的輸出電壓影響不大,在2ms 內(nèi)都能恢復(fù)到穩(wěn)定的輸出。 2、負(fù)載階躍情況分析結(jié)果 圖50 輸出階躍瞬態(tài)特性(負(fù)載從0A躍變到1.0A)如圖50所示,隨著電源負(fù)載量的增大,電源輸出的電壓略有升高,紋波系數(shù)較大,約為0.78%。電壓調(diào)節(jié)的時(shí)間較短,上升時(shí)間約為0.5ms,下降時(shí)間約為0.5ms 圖51 輸出階躍瞬態(tài)特性(負(fù)載量從1A躍變到0A)如圖51 所示,當(dāng)負(fù)載從1A躍變到0A時(shí),輸出紋波電壓較小,紋波系數(shù)約為0.35%,輸出電壓比較穩(wěn)定,基本處在額定電壓范圍。電壓的恢復(fù)時(shí)間較長(zhǎng),上升時(shí)間約為0.7ms,下降時(shí)間約為7.3ms。三、電源電磁兼容性能指標(biāo)1、電源瞬態(tài)敏感度 輸入電壓大于穩(wěn)態(tài)輸入電壓的10%30%時(shí)的情況分析 圖52 電壓瞬態(tài)敏感度分析結(jié)果(輸入電壓+10% +30%) 輸入電壓小于穩(wěn)態(tài)輸入電壓的10%30%時(shí)的情況分析圖53 電壓瞬態(tài)敏感度分析結(jié)果(輸入電壓-10%-30%) 從圖52、圖53可以看到:電源對(duì)源電壓的變化敏感度較好,均能在1ms內(nèi)做出反應(yīng),很快恢復(fù)到穩(wěn)定輸出。2、浪涌敏感度 圖54 電源敏感度分析結(jié)果從圖54可以看出:本電源的浪涌敏感度高,對(duì)輸入的浪涌能做很快的調(diào)整,對(duì)整個(gè)電源影響小。

注意事項(xiàng)

本文(數(shù)字功率放大器[共39頁(yè)])為本站會(huì)員(1528****253)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!