九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

微機(jī)原理王忠民版課后答案

  • 資源ID:46311241       資源大?。?span id="24d9guoke414" class="font-tahoma">71KB        全文頁數(shù):14頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

微機(jī)原理王忠民版課后答案

部分習(xí)題答案第二章 計(jì)算機(jī)中的數(shù)值和編碼1、 將十進(jìn)制數(shù)轉(zhuǎn)換為二進(jìn)制和十六進(jìn)制(1) 129.751000 0001.11B81.CH (2) 218.81251101 1010.1101BDA.DH(3) 15.6251111.101BF.AH (4) 47.1562510 1111.0010 1B2F.28 H2、 將下列二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制和十六進(jìn)制(1) 111010 B=58 =3A H (2) 1011 1100.111B= 188.875= BC.E H(3) 0.1101 1B=0.84375 =0.D8H (4) 11110.01 B=30.25 =1E.4H3、完成下列二進(jìn)制數(shù)的加減法運(yùn)算(1) 1001.11100.011110.00(2) 1101010110.100101100001.001101110101.0110(3) 001111011011101111111000(4) 01011101.0110101101.1011101111.10114、完成下列十六進(jìn)制數(shù)的加減法運(yùn)算(1)745CH56DFHD14B H (2) ABF.8HEF6.AH9C28.E H (3) 12AB.F73CD.051678 .FC H (4)6F01HEFD8H7F29 H5、計(jì)算下列表達(dá)式的值(1)128.812510110101.1011B1F.2H101010101.1010B (2)287.6810101010.11H8E.EH103.CEH (3)18.91010.1101B12.6H1011.100136.5256、選取字長(zhǎng)n為8位和16位兩種情況,求下列十進(jìn)制數(shù)的補(bǔ)碼。 (1)X33的補(bǔ)碼: 1101 1111,1111111111011111(2)Y33的補(bǔ)碼: 0010 0001,0000 0000 0010 0001(3) Z128的補(bǔ)碼:1000 0000,1111 1111 1000 0000(4)N127的補(bǔ)碼:0111 1111,0000 0000 0111 1111(5)A65的補(bǔ)碼: 1011 1111,1111 1111 1011 1111(6)B65的補(bǔ)碼: 0100 0001,0000 0000 0100 0001(7)C96的補(bǔ)碼: 1010 0000,1111 1111 1010 0000(8)D96的補(bǔ)碼: 0110 0000,0000 0000 0110 00007、寫出下列用補(bǔ)碼表示的二進(jìn)制數(shù)的真值(1) X補(bǔ)1000 0000 0000 0000 HX1000 0000 0000 0000 H32768 (2) Y補(bǔ)0000 0001 0000 0001 HY0000 0001 0000 0001 H257 (3) Z補(bǔ)1111 1110 1010 0101 HZ0000 0001 0101 1011 H347 (4) A補(bǔ)0000 0010 0101 0111 HA0000 0010 0101 0111 H5998、設(shè)機(jī)器字長(zhǎng)為8位,最高位為符號(hào)位,試對(duì)下列格式進(jìn)行二進(jìn)制補(bǔ)碼運(yùn)算,并判斷結(jié)果是否溢出。(1) 438 43補(bǔ)00101011B,8補(bǔ)00001000B43補(bǔ)8補(bǔ)00101011B00001000B00110011B33H00101011B00001000B 00110011B CS0,CD0,OFCSCD000 無溢出(1) 438 33H (無溢出) (2) 527D3 H (無溢出) (3) 609096 H (溢出) (4) 728 40 H (無溢出) (5) 33(37)0BA H(無溢出) (6) 90(70) 60 H(溢出) (7) 9(7)FE H (無溢出) (8) 6090E2 H(無溢出)9、 設(shè)有變量x11101111B,y11001001B,z01110010B,v01011010B,試計(jì)算x+y?,x+z?,y+z?,z+v?,請(qǐng)問: 若為無符號(hào)數(shù),計(jì)算結(jié)果是否正確? 若為帶符號(hào)補(bǔ)碼數(shù),計(jì)算結(jié)果是否溢出?x+y = 11101111B11001001B10111000B1B8 H1110 1111 B1100 1001B1011 1000B 若為無符號(hào)數(shù) 若為帶符號(hào)補(bǔ)碼數(shù) CF=1 不正確 CF=1,DF1 OF0 不溢出 x+y = 0B8 Hx+z = 61 H y+z = 3B H z+v = 0CC H 不正確 不正確 不正確 正確 不溢出 不溢出 不溢出溢出第三章 80X86微處理器1簡(jiǎn)述80868088CPU中BIU和EU的作用,并說明其并行工作過程。答:(1) BIU的作用:計(jì)算20位的物理地址,并負(fù)責(zé)完成CPU與存儲(chǔ)器或I/O端口之間的數(shù)據(jù)傳送。(2) EU的作用:執(zhí)行指令,并為BIU提供所需的有效地址。(3) 并行工作過程:當(dāng)EU從指令隊(duì)列中取出指令執(zhí)行時(shí),BIU將從內(nèi)存中取出指令補(bǔ)充到指令隊(duì)列中。這樣就實(shí)現(xiàn)了取指和執(zhí)行指令的并行工作。 280868088CPU內(nèi)部有哪些寄存器?其主要作用是什么?答:80868088CPU內(nèi)部共有14個(gè)寄存器,可分為4類:數(shù)據(jù)寄存器4個(gè),地址寄存器4個(gè),段寄存器4個(gè)和控制寄存器2個(gè)。其主要作用是:(1) 數(shù)據(jù)寄存器:一般用來存放數(shù)據(jù),但它們各自都有自己的特定用途。 AX(Accumulator)稱為累加器。用該寄存器存放運(yùn)算結(jié)果可使指令簡(jiǎn)化,提高指令的執(zhí)行速度。此外,所有的IO指令都使用該寄存器與外設(shè)端口交換信息。 BX(Base)稱為基址寄存器。用來存放操作數(shù)在內(nèi)存中數(shù)據(jù)段內(nèi)的偏移地址, CX(Counter)稱為計(jì)數(shù)器。在設(shè)計(jì)循環(huán)程序時(shí)使用該寄存器存放循環(huán)次數(shù),可使程序指令簡(jiǎn)化,有利于提高程序的運(yùn)行速度。 DX(Data)稱為數(shù)據(jù)寄存器。在寄存器間接尋址的IO指令中存放IO端口地址;在做雙字長(zhǎng)乘除法運(yùn)算時(shí),DX與AX一起存放一個(gè)雙字長(zhǎng)操作數(shù),其中DX存放高16位數(shù)。(2) 地址寄存器:一般用來存放段內(nèi)的偏移地址。 SP(Stack Pointer)稱為堆棧指針寄存器。在使用堆棧操作指令(PUSH或POP)對(duì)堆棧進(jìn)行操作時(shí),每執(zhí)行一次進(jìn)?;虺鰲2僮?,系統(tǒng)會(huì)自動(dòng)將SP的內(nèi)容減2或加2,以使其始終指向棧頂。 BP(Base Pointer)稱為基址寄存器。作為通用寄存器,它可以用來存放數(shù)據(jù),但更經(jīng)常更重要的用途是存放操作數(shù)在堆棧段內(nèi)的偏移地址。 SI(Source Index)稱為源變址寄存器。SI存放源串在數(shù)據(jù)段內(nèi)的偏移地址。DI(Destination Index)稱為目的變址寄存器。DI存放目的串在附加數(shù)據(jù)段內(nèi)的偏移地址。(3) 段寄存器:用于存放段地址 CS(Code Segment)稱為代碼段寄存器,用來存儲(chǔ)程序當(dāng)前使用的代碼段的段地址。 CS的內(nèi)容左移4位再加上指令指針寄存器IP的內(nèi)容就是下一條要讀取的指令在存儲(chǔ)器中的物理地址。 DS(Data Segment)稱為數(shù)據(jù)段寄存器,用來存放程序當(dāng)前使用的數(shù)據(jù)段的段地址。 DS的內(nèi)容左移4位再加上按指令中存儲(chǔ)器尋址方式給出的偏移地址即得到對(duì)數(shù)據(jù)段指定單元進(jìn)行讀寫的物理地址。 SS(Stack Segment)稱為堆棧段寄存器,用來存放程序當(dāng)前所使用的堆棧段的段地址。堆棧是存儲(chǔ)器中開辟的按“先進(jìn)后出”原則組織的一個(gè)特殊存儲(chǔ)區(qū),主要用于調(diào)用子程序或執(zhí)行中斷服務(wù)程序時(shí)保護(hù)斷點(diǎn)和現(xiàn)場(chǎng)。 ES(Extra Segment)稱為附加數(shù)據(jù)段寄存器,用來存放程序當(dāng)前使用的附加數(shù)據(jù)段的段地址。附加數(shù)據(jù)段用來存放字符串操作時(shí)的目的字符串。(4) 控制寄存器 IP(Instmcdon Pointer)稱為指令指針寄存器,用來存放下一條要讀取的指令在代碼段內(nèi)的偏移地址。用戶程序不能直接訪問IP。 FLAGS稱為標(biāo)志寄存器,它是一個(gè)16位的寄存器,但只用了其中9位,這9位包括(個(gè)狀態(tài)標(biāo)志位和3個(gè)控制標(biāo)志位。它用來反映算術(shù)運(yùn)算和邏輯運(yùn)算結(jié)果的一些特征,或用來控制CPU的某種操作。380868088CPU中有哪些寄存器可用來指示操作數(shù)在存儲(chǔ)器中某段內(nèi)的偏移地址?答:可用來指示段內(nèi)偏移地址的寄存器共有6個(gè):IP、SP、BP、BX、SI、DI480868088CPU中標(biāo)志寄存器FLAGS有哪些標(biāo)志位?它們的含義和作用如何?答:標(biāo)志寄存器中的標(biāo)志共有9個(gè),分為兩類:狀態(tài)標(biāo)志6個(gè)和控制標(biāo)志3個(gè)。其作用是:(1) 狀態(tài)標(biāo)志:用來反映算術(shù)和邏輯運(yùn)算結(jié)果的一些特征。 CF(Carry Flag)進(jìn)位標(biāo)志位。當(dāng)進(jìn)行加減運(yùn)算時(shí),若最高位發(fā)生進(jìn)位或借位,則CF為1,否則為0。該標(biāo)志位通常用于判斷無符號(hào)數(shù)運(yùn)算結(jié)果是否超出了計(jì)算機(jī)所能表示的無符號(hào)數(shù)的范圍。 PF(Parity Flag)奇偶標(biāo)志位。當(dāng)指令執(zhí)行結(jié)果的低8位中含有偶數(shù)個(gè)1時(shí),PF為1,否則為0。 AF(Auxiliary Flag)輔助進(jìn)位標(biāo)志位。當(dāng)執(zhí)行一條加法或減法運(yùn)算指令時(shí),若結(jié)果的低字節(jié)的低4位向高4位有進(jìn)位或借位,則AF為1,否則為0。 ZF(Zero Flag)零標(biāo)志位。若當(dāng)前的運(yùn)算結(jié)果為0,則ZF為1,否則為00 SF(Sign Flag)符號(hào)標(biāo)志位。當(dāng)運(yùn)算結(jié)果的最高位為1時(shí),SF=1,否則為00 OF(Overflow Flag)溢出標(biāo)志位。當(dāng)運(yùn)算結(jié)果超出了帶符號(hào)數(shù)所能表示的數(shù)值范圍,即溢出時(shí),OF=1,否則為0。該標(biāo)志位通常用來判斷帶符號(hào)數(shù)運(yùn)算結(jié)果是否溢出o (2) 控制標(biāo)志位:用來控制CPU的操作,由程序設(shè)置或清除。它們是: TF(TrapFlag)跟蹤(陷阱)標(biāo)志位。它是為測(cè)試程序的方便而設(shè)置的。若將TF置1,80868088CPU處于單步工作方式,否則,將正常執(zhí)行程序。 IP(Interrupt Flag)中斷允許標(biāo)志位。它是用來控制可屏蔽中斷的控制標(biāo)志位。若用STI指令將IF置1,表示允許CPU接受外部從INTR引腳上發(fā)來的可屏蔽中斷請(qǐng)求信號(hào);若用CLI指令將IP清0,則禁止CPU接受可屏蔽中斷請(qǐng)求信號(hào)。IF的狀態(tài)對(duì)非屏蔽中斷及內(nèi)部中斷沒有影響。 DF(DirectionFlag)方向標(biāo)志位。若用STD將DF置1,串操作按減地址方式進(jìn)行,也就是說,從高地址開始,每操作一次地址自動(dòng)遞減;若用CLD將DF清0,則串操作按增地址方式進(jìn)行,即每操作一次地址自動(dòng)遞增。580868088CPU的地址總線有多少位?其尋址范圍是多少?答:8086/8088地址總線有20根,尋址范圍1MB6什么叫指令隊(duì)列?80868088CPU中指令隊(duì)列有什么作用?其長(zhǎng)度分別是多少?答:(1) 指令隊(duì)列:采用“先進(jìn)先出”原則,按順序存放預(yù)執(zhí)行指令的緩沖器稱為指令隊(duì)列。 (2) 指令隊(duì)列的作用:存放EU將要執(zhí)行的指令,使CPU的取指和執(zhí)行指令能并行工作。(3) 指令隊(duì)列的長(zhǎng)度:8086為6個(gè)字節(jié),8088為4個(gè)字節(jié)。7Intel8086與8088有何區(qū)別?答:8086與8088的區(qū)別有三處:(1) 外部數(shù)據(jù)總線位數(shù)不同(即地址數(shù)據(jù)分時(shí)復(fù)用引腳條數(shù)不同);8086為16位:AD15AD0。8088為 8位:AD7AD0 。(2) 內(nèi)部指令隊(duì)列緩沖器長(zhǎng)度不同;8086有6個(gè)字節(jié)。當(dāng)指令隊(duì)列出現(xiàn)2個(gè)空字節(jié)時(shí),BIU將取指補(bǔ)充。8086有4個(gè)字節(jié)。當(dāng)指令隊(duì)列出現(xiàn)1個(gè)空字節(jié)時(shí),BIU將取指補(bǔ)充。(3) 外部某些控制總線定義不同。 8086的28號(hào)引腳定義為MIO(S2),8088定義為IOM(S2) 8086的34號(hào)引腳定義為BHES7,8088定義為SS0(HIGH)8簡(jiǎn)述8086 CPU使用地址鎖存信號(hào)ALE將地址A15A0與數(shù)據(jù)D15D0分開的工作原理。答:在任何一個(gè)總線周期的T1狀態(tài),ALE均為高電平,以表示當(dāng)前地址數(shù)據(jù)復(fù)用線上的輸出的是地址信息,在ALE由高變低的下降沿時(shí)把地址裝入地址鎖存器,而在T2、T3和T4狀態(tài),ALE均為低電平,以表示當(dāng)前地址數(shù)據(jù)復(fù)用線上的輸出的是數(shù)據(jù)信息,此時(shí)通過數(shù)據(jù)收發(fā)器進(jìn)行傳送。9什么是邏輯地址?什么是物理地址?若已知邏輯地址為BA00:A800,試求物理地址。答:邏輯地址:由段地址和偏移地址表示的存儲(chǔ)單元地址稱為邏輯地址。物理地址:CPU對(duì)存儲(chǔ)器進(jìn)行訪問時(shí)實(shí)際所使用的20位地址稱為物理地址。若 邏輯地址為BA00H:A800H 則 物理地址BA00H×10HA800HC4800H10簡(jiǎn)述堆棧指針寄存器SP的功能及堆棧的操作過程。答:(1) SP的功能:指示進(jìn)棧和出棧操作時(shí)的偏移地址。(2) 堆棧的操作過程:進(jìn)棧時(shí),先將SP2,再將數(shù)據(jù)壓入堆棧(即先移后入);出棧時(shí),先將數(shù)據(jù)彈出堆棧,再將SP+2(即先出后移)。第四章 80X86 指令系統(tǒng)1、 指出源操作數(shù)的尋址方式 MOVBX,2000H ;立即數(shù)尋址 MOVBX,2000H;直接尋址 MOV BX,SI ;寄存器間接尋址 MOV BX,SI2000H;寄存器相對(duì)尋址 MOV BXSI,AL ;寄存器尋址 ADD AX,BXDI80;基址變址相對(duì)尋址 MUL BL ;寄存器尋址 JMP BX;段內(nèi)間接尋址 IN AL,DX ;端口間接尋址 INC WORD PTR BP+10H;寄存器相對(duì)尋址 MOV CL,LENGTH VAR ;立即數(shù)尋址 MOV BL,OFFSET VAR1;立即數(shù)尋址2、 指出下列指令是否正確 (1) MOV DS,0100H;錯(cuò)誤。源操作數(shù)是立即數(shù)時(shí),目的操作數(shù)不能時(shí)段寄存器 (2) MOV BP,AL;錯(cuò)誤。操作數(shù)類型不一致 (3) XCHG AH,AL;正確。 (4) OUT310H,AL;錯(cuò)誤。端口直接尋址的范圍應(yīng)在0FFH之間 (5) MOVBX,BX;正確。 (6) MOVES:BXDI ,AX;正確。 (7) MOVAX,SIDI;錯(cuò)誤。存儲(chǔ)器尋址中有效地址不能由兩個(gè)變址寄存器組成 (8) MOVSS:BX+SI+100H,BX;正確。 (9) AND AX,BL;錯(cuò)誤。操作數(shù)類型不一致(10) MOVDX,DS:BP;正確。(11) ADDSI,20H;錯(cuò)誤。用PTR 說明類型(12) MOV 30H,AL;錯(cuò)誤。目的操作數(shù)不能為立即數(shù)(13) PUSH 2000H;錯(cuò)誤。堆棧指令的操作數(shù)不能是立即數(shù)(14) MOV SI,2000H;錯(cuò)誤。兩個(gè)操作數(shù)不能同時(shí)為存儲(chǔ)器操作數(shù)(15) MOV SI,AL;錯(cuò)誤。操作數(shù)類型不一致(16) ADD2000H,20H;錯(cuò)誤。用PTR 說明類型(17) MOV CS,AX;錯(cuò)誤。目的操作數(shù)不能為代碼段寄存器(18) INCDI;錯(cuò)誤。需用PTR說明類型(19) OUT BX,AL;錯(cuò)誤。端口間接尋址的寄存器只能是DX寄存器(20) SHL BX,3;錯(cuò)誤。移位次數(shù)大于1時(shí)應(yīng)該用CL寄存器(21) XCHG CX,DS;錯(cuò)誤。交換指令中不能出現(xiàn)段寄存器(22) POP AL;錯(cuò)誤。堆棧指令的操作數(shù)只能是字操作數(shù)(即16位操作數(shù)) 3、 寫出存儲(chǔ)器操作數(shù)物理地址的計(jì)算表達(dá)式(1)MOV AL,DI ;(DS)×10H(DI)(2)MOV AX,BX+SI ;(DS)×10H(BX)(SI)(3)MOV 5BX+DI,AL ;(DS)×10H(BX)(DI)5(4)ADD AL,ES:BX ;(ES)×10H(BX) (5)SUB AX,1000H ;(DS)×10H1000H(6)ADC AX,BX+DI+2000H ;(DS)×10H(BX)(DI)2000H(7)MOV CX,BP+SI ;(SS)×10H(BP)(SI)(8)INC BYTE PTR DI ;(DS)×10H(DI)4、 若(DS)3000H,(BX)2000H,(SI)0100H,(ES)4000H,計(jì)算下列存儲(chǔ)器操作數(shù)的物理地址。(1) (DS)×10H(BX)3000H×10H2000H32000H (2) (DS)×10H(BX)(SI)1000H3000H×10H2000H0100H1000H33100 H (3) (DS)×10H(BX)(SI)3000H×10H2000H0100H32100 H (4) (ES)×10H(BX)4000H×10H2000H42000 H5、若(CS)E000H,說明代碼段可尋址物理存儲(chǔ)空間的范圍。 最小物理地址為:(CS)×10H0000HE0000H 最大物理地址為:(CS)×10HFFFFHEFFFFH 代碼段可尋址物理存儲(chǔ)空間的范圍是:E0000HEFFFFH6、設(shè)(SP)2000H,(AX)3000H, (BX)5000H,執(zhí)行下列程序段后,(SP)?,(AX)?, (BX)?PUSH AXPUSH BXPOP AX(SP)1FFEH,(AX)5000H, (BX)5000H7、試比較SUB AL,09H與CMP AL,09H這兩條指令的異同。若(AL)=08H,分別執(zhí)行上述兩條指令后,(AL)=?,CF=?,OF=0,ZF=? (1)相同點(diǎn):兩條指令都能完成(AL)09H的功能,并且都影響六個(gè)狀態(tài)標(biāo)志位;不同點(diǎn):SUB指令將運(yùn)算結(jié)果回送到AL寄存器中,而CMP指令不回送。(2) SUB AL,09H ;(AL)=FFH,CF=1,OF=0,ZF=0 CMP AL,09H ;(AL)=08H,CF=1,OF=0,ZF=08、分別執(zhí)行下列指令,試求AL的內(nèi)容及各狀態(tài)標(biāo)志位的狀態(tài)。(1) MOVAL,19H ;ADDAL,61H;(AL)=7AH OF=0 SF=0 ZF=0 AF=0 PF=0 CF=0(2) MOVAL,19H ;SUBAL,61H;(AL)=B8H OF=0 SF=1 ZF=0 AF=0 PF=1 CF=1(3) MOVAL,5DH ;ADDAL,0C6H;(AL)=23H OF=0 SF=0 ZF=0 AF=1 PF=0 CF=1(4) MOVAL,7EH ;SUBAL,95H;(AL)=E9H OF=1 SF=1 ZF=0 AF=0 PF=0 CF=19、用最少的指令,實(shí)現(xiàn)下述要求的功能。(1)AH的高4位清零。ANDAH,0FH(2) AL的高4位去反。XOR AH, 0F0H (3) AL的高4位移到低4位,高4位清0。MOV CL,4 SHR AL,CL(4) AL的低4位移到高4位,低4位清0。MOV CL,4SHL AL,CL10、設(shè)(BX)6D16H,(AX)1100H,寫出下列三條指令執(zhí)行后,AX和BX寄存器中的內(nèi)容。MOV CL,06HROLAX,CLSHRBX,CL(AX)=4004 H (BX)=01B4 H11、設(shè)初值(AX)0119H,執(zhí)行下列程序段后,(AX)?MOVCH,AHADDAL,AHDAAXCHGAL,CHADCAL,34HDAAMOVAH,ALMOVAL,CH(AX)=3520 H12、指出下列程序段的功能。(1)MOVCX,10LEASI,F(xiàn)irstLEADI,SecondREP MOVSB將 First串中前10個(gè)字符傳送至Second 中(2)CLDLEADI,0404HMOVCX,0080HXORAX,AXREP STOSW將起始地址為0404 H開始的80 H個(gè)單元置成013、 設(shè)(BX)6F30H,(BP)0200H,(SI)0046H,(SS)2F00H,(2F246H)4154H,試求執(zhí)行XCHG BX,BPSI后,(BX)?,(2F246H)? (BX)4154H(2F246H)6F30H14、設(shè)(BX)0400H,(DI)003CH,執(zhí)行LEA BX,BXDI0F62H后,(BX)?(BX)139E H15、設(shè)(DS)C000H,(C0010H)0180H,(C0012H)2000H,執(zhí)行LDS SI,10H后,(SI)?, (DS)?(SI)0180 H,(DS)2000 H16、已知(DS)091DH,(SS)1E4AH,(AX)1234H,(BX)0024H,(CX)5678H,(BP)0024H,(SI)0012H,(DI)0032H,(09226H)00F6H,(09228H)1E40H,試求單獨(dú)執(zhí)行下列指令后的結(jié)果。(1) MOVCL,20HBXSI;(CL)0F6 H (2) MOVBPDI,CX;(1E4F6 H)5678 H(3) LEABX,20HBXSI;(BX)=0056 H MOVAX,2BX;(AX)=1E40 H(4)LDSSI,BPDI;MOV SI,BX;(SI)=0024 H (5)XCHG CX,32HBX; XCHG 20HBXSI,AX;(AX)5678 H ,(09226H)1234 H17、若CPU中各寄存器及RAM參數(shù)如圖所示,試求獨(dú)立執(zhí)行如下指令后,CPU及RAM相應(yīng)寄存器及存儲(chǔ)單元的內(nèi)容是多少?CPURAM執(zhí)行前執(zhí)行后CS3000HFFFFHCX20506H06H不變DS2050H0004HBX20507H00H不變SS50A0H1000HSP20508H87H不變ES0FFFH17C6HDX20509H15H不變IP0000H8094HAX2050AH37H94HDI000AH1403HBP2050BHC5H不變SI0008H1CF2050CH2FH不變(1)MOVDX,BX+2;(DX)=0006H,(BX)=0004H(2)PUSHCX;(SP)=0FFEH(3)MOVCX,BX;(CX)=0004H,(BX)=0004H(4)TESTAX,01;(AX)=8094H,(CF)=0(5)MOVAL,SI;(AL)=87H(6)ADCAL,DI;(AL)=0CCH,(CF)=0 DAA;(AL)=32H(7)INC SI;(SI)=0009H(8)DECDI;(DI)=0009H(9)MOVDI,AL;(DI)=94H(10)XCHGAX,DX;(AX)=17C6H,(DX)=8094H(11)XORAH,BL;(AH)=84H,(BL)=04H(12)JMPDX;(IP)=17C6H18、(DS)2000H,(BX)1256H,(SI)528FH,偏移量20A1H,(232F7H)3280H,(264E5H)2450H,試求執(zhí)行下述指令后的結(jié)果。(1) JMP BX;(IP)1256 H (2) JMP TABLEBX;(IP)3280 H (3) JMP BXSI;(IP)2450 H19、設(shè)(IP)3D8FH,(CS)4050H,(SP)0F17H,當(dāng)執(zhí)行CALL 2000H:0094H后,試指出(IP)、(CS)、(SP)、(SP)、(SP)1)、(SP)2)和(SP)3)的內(nèi)容。CALL指令是5字節(jié)指令,下一條指令地址為4050H:3D94H所以執(zhí)行后(IP)0094H,(CS)2000H、(SP)0F13H(SP)94H,(SP)1)00H,(SP)2)00H,(SP)3)20H第五章 匯編語言程序設(shè)計(jì)2.PLENTH的值為0022,它表示當(dāng)前已分配單元空間;3.L的值為6;5.(AX)=000AH;(BL)=0AH;(CL)=01H;10. MOV AX, 4A82HMOV DL,AHAND DL,0F0H MOV CL,4SHR DL,CL PUSH AX AND AH,0FH MOV BH,AH AND AL,0F0H MOV BL,AL MOV CL,4 SHR BL,CL MOV CL,BH POP AX AND AL,0FH11. data segment string1 db 'I am a student.' string2 db 'I am a student .' yes db 'match',0dh,0ah,'$' no db 'no match',0dh,0ah,'$'data endscode segment assume cs:code,ds:data,es:datastart: push ds sub ax,ax push ax mov ax,data mov ds,ax mov es,ax lea si,string1 lea di,string2 mov cx,string2-string1 cld repe cmpsb jnz dispno mov ah,9 lea dx,yes int 21h jmp exitdispno: mov ah,9 lea dx,no int 21hexit: MOV AH,4CH INT 21Hcode ends13. DATASEGMENT M DB 11H,22H,33H,44H,64H,87H,34,29,67H,88H,0F6H DB 43H,0B7H,96H,0A3H,233,56H,23H,56H,89HCEQU20PDB20 DUP(?)NDB20 DUP(?) PLUS DB 'PLUS',0DH,0AH,'$' MINUS DB 'MINUS',0DH,0AH,'$' J DB 2 DUP(?) DATAENDSCODESEGMENTASSUME CS:CODE, DS:DATASTART: MOV AX,DATAMOV DS,AXLEA SI,MLEA DI,PLEA BX,NMOV CX,CMOV DX,0LOOP1: LODSBTESTAL,80H JNZ MINUS1 ;負(fù)數(shù)轉(zhuǎn)移 MOV DI,AL INC DI INC DH ;存正數(shù)個(gè)數(shù)JMP AGAINMINUS1: MOV BX,AL INC BXINC DL;存負(fù)數(shù)個(gè)數(shù)AGAIN: DEC CX JNZ LOOP1 MOV WORD PTR J,DX;存結(jié)果 MOV DX,OFFSET MINUS MOV AH,9 INT 21H ;顯示提示信息 MOV BL,J MOV CH,2ROTATE: MOV CL,4 ROL BL,CL MOV AL,BL AND AL,0FH ADD AL,30H CMP AL,3AH JL POR ADD AL,7POR: MOV DL,AL MOV AH,2 INT 21H DEC CH JNZ ROTATE ;十六進(jìn)制形式輸出負(fù)數(shù)個(gè)數(shù) MOV AH,2 MOV DL,0DH INT 21H MOV DL,0AH INT 21H MOV DX,OFFSET PLUS MOV AH,9 INT 21H MOV DH,J+1 MOV CH,2ROTATE1: MOV CL,4 ROL DH,CL MOV AL,DH AND AL,0FH ADD AL,30H CMP AL,3AH JL POR1 ADD AL,7POR1: MOV DL,AL MOV AH,2 INT 21H DEC CH JNZ ROTATE1MOV AH,4CHINT 21HCODEENDSENDSTART第六章 半導(dǎo)體存儲(chǔ)器2、 (1) 64片 片內(nèi)尋址線 10根,片間尋址線 6根(2) 128片 片內(nèi)尋址線 11根,片間尋址線 5根(3) 16片 片內(nèi)尋址線 11根,片間尋址線 5根(4) 2片 片內(nèi)尋址線 14根,片間尋址線 2根3、1024×8的RAM芯片,地址線11根。數(shù)據(jù)線8根6、已知:8位微機(jī)地址,總線16位,設(shè)計(jì)12KB存儲(chǔ)系統(tǒng),其中ROM 占用0000H開始的8KB,RAM占用2000H 開始的4KB,存儲(chǔ)芯片分別選用INTEL 2716和2114分析如下:(1)ROM 2716 2K×8芯片,需4片,做字?jǐn)U展,片內(nèi)尋址線11根RAM 2114 1K×4芯片,需8片,做字位擴(kuò)展,片內(nèi)尋址線10根(2)地址范圍: A15 A14 A13 A12 A11 A10ROM1:0000H07FFH 0 0 0 0 0 0ROM2:0800H0FFFH 0 0 0 0 1 0A15 A14 A13 A12 A11 A10ROM3:1000H17FFH 0 0 0 1 0 0ROM4:1800H1FFFH 0 0 0 1 1 0RAM1、2:2000H23FFH 0 0 1 0 0 0RAM3、4:2400H27FFH 0 0 1 0 0 1RAM5、6:2800H2BFFH 0 0 1 0 1 0RAM7、8:2C00H2FFFH 0 0 1 0 1 1(3)A11、A12、A13 3:8譯碼器 譯碼輸入 (一級(jí)譯碼)ROM1 :Y0ROM2 :Y1ROM3 :Y2ROM4 :Y3RAM1、2 :Y4 與 A10 (二級(jí)譯碼)RAM3、4 :Y4 與 A10RAM5、6 :Y5 與 A10RAM7、8 :Y5 與 A10(4)畫圖連接 略9、8088組成的小型計(jì)算機(jī)系統(tǒng),32KB ROM,其地址范圍0000007FFFH, RAM占用8KB,地址范圍:08000H09FFFH。ROM選用 2764(8K×8),RAM選用2114(1K×4)分析如下 (1) ROM 2764 8K×8芯片,需4片,做字?jǐn)U展,片內(nèi)尋址線13根RAM 2114 1K×4芯片,需16片,做字位擴(kuò)展,片內(nèi)尋址線10根(2) 地址范圍: A15 A14 A13 A12 A11 A10ROM1 0000001FFF H 0 0 0 (一級(jí)譯碼)ROM2 0200003FFF H 0 0 1 ROM3 0400005FFF H 0 1 0 ROM4 0600007FFFH 0 1 1RAM1(組)08000083FFH 1 0 0 0 0 0 (二級(jí)譯碼)RAM2 08400087FFH 1 0 0 0 0 1RAM3 0880008BFFH 1 0 0 0 1 0RAM4 08C0008FFFH 1 0 0 0 1 1RAM5 09000093FFH 1 0 0 1 0 0RAM6 0940009FFFH 1 0 0 1 0 1RAM7 0980009BFFH 1 0 0 1 1 0RAM8 09C0009FFFH 1 0 0 1 1 1(3) ROM1 Y0 (1 # 譯碼器 A15 A14 A13 譯碼輸入)ROM2 Y1ROM3 Y2ROM4 Y3RAM1 Y0 (2 # 譯碼器 A12 A11 A10譯碼輸入 1 # Y4做2#的控制信號(hào))RAM2 Y1RAM3 Y2RAM4 Y3RAM5 Y4RAM6 Y5RAM7 Y6RAM8 Y7(4) 需兩片3:8譯碼器畫圖連接 略第七章 輸入 / 輸出 與 中斷15、01D8 H16、接通打印機(jī) 檢查打印狀態(tài) 發(fā)送數(shù)據(jù)OR AL,01 HOUT 0F7H , ALIN AL ,0F6 HOUT 0F5H , AL第八章 可編程接口芯片及應(yīng)用5、 初始化命令字 及 計(jì)數(shù)初值CUNT0 36H或37H 1000CUNT1 54H 或55H 1007、 始化控制字(1)99H (2) B6 H PC2及PC4 置位命令字 09 H 05 H

注意事項(xiàng)

本文(微機(jī)原理王忠民版課后答案)為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!