九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

《單片機(jī)原理及應(yīng)用》實(shí)驗(yàn)報(bào)告[共39頁(yè)]

  • 資源ID:48942077       資源大?。?span id="24d9guoke414" class="font-tahoma">614KB        全文頁(yè)數(shù):39頁(yè)
  • 資源格式: DOC        下載積分:12積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要12積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

《單片機(jī)原理及應(yīng)用》實(shí)驗(yàn)報(bào)告[共39頁(yè)]

單片機(jī)原理及應(yīng)用實(shí)驗(yàn)報(bào)告 2017/2018 學(xué)年 第 1 學(xué)期系 別 計(jì)算機(jī)學(xué)院 專 業(yè) 軟件工程 班 級(jí) 17軟件工程班 姓 名 XXXXXX 學(xué) 號(hào) 8888888888 授課老師 * 實(shí)驗(yàn)一:流水燈實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康模?)學(xué)習(xí)編譯和仿真環(huán)境使用 (2)學(xué)習(xí)P3口的使用方法 (3)學(xué)習(xí)延時(shí)子程序的編寫2實(shí)驗(yàn)內(nèi)容 (1)通過(guò)對(duì)P3口地址的操作控制8位LED流水點(diǎn)亮,從而認(rèn)識(shí)單片機(jī)的接口; (2)通過(guò)改變并行口輸出電平控制LED燈的點(diǎn)亮與否,通過(guò)延時(shí)程序控制亮燈時(shí)間。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼/流水燈實(shí)驗(yàn)#include<reg51.h> /包含單片機(jī)寄存器的頭文件sfr x=0xb0; /P3口在存儲(chǔ)器中的地址是b0H, 通過(guò)sfr可定義8051內(nèi)核單片機(jī) /的所有內(nèi)部8位特殊功能寄存器,對(duì)地址x的操作也就是對(duì)P1口的操作/*函數(shù)功能:延時(shí)一段時(shí)間*/void delay(void) unsigned char i,j; for(i=0;i<255i+) for(j=0;j<255j+) ; /利用循環(huán)等待若干機(jī)器周期,從而延時(shí)一段時(shí)間 /*函數(shù)功能:主函數(shù) */void main(void) while(1) x=0xfe; /第一個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù) x=0xfd; /第二個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù) x=0xfb; /第三個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù) x=0xf7; /第四個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù)x=0xef; /第五個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù)x=0xdf; /第六個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù)x=0xbf; /第七個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù)x=0x7f; /第八個(gè)燈亮 delay(); /調(diào)用延時(shí)函數(shù) 實(shí)驗(yàn)二:中斷實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康模?)熟悉51單片機(jī)中斷初始化編程方法。(2)掌握51單片機(jī)外部中斷源的設(shè)計(jì)使用。(3)中斷處理應(yīng)用程序的設(shè)計(jì)與調(diào)試技巧。2實(shí)驗(yàn)內(nèi)容 通過(guò)對(duì)P3.2、P3.3引腳的電平控制,實(shí)現(xiàn)外部中斷處理,從而控制輸出口P1的輸出效果變化。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼/用外中斷0的中斷方式進(jìn)行數(shù)據(jù)采集和處理#include<reg51.h> /包含51單片機(jī)寄存器定義的頭文件sbit S=P32; /將S位定義為P3.2,/*函數(shù)功能:主函數(shù)*/void main(void) EA=1; /開放總中斷 EX0=1; /允許使用外中斷 IT0=1; /選擇負(fù)跳變來(lái)觸發(fā)外中斷P1=0xff;while(1) ; /無(wú)限循環(huán), 防止程序跑飛 /*函數(shù)功能:外中斷T0的中斷服務(wù)程序*/void int0(void) interrupt 0 using 0 /外中斷0的中斷編號(hào)為0 P1=P1; /每產(chǎn)生一次中斷請(qǐng)求,P1取反一次。 /用外中斷0的中斷方式進(jìn)行數(shù)據(jù)采集和處理#include<reg51.h> /包含51單片機(jī)寄存器定義的頭文件#define uchar unsigned charvoid Delay(unsigned int i)uchar j;for(;i>0;i-)for(j=0;j<125;j+);/sbit S=P32; /將S位定義為P3.2,/*函數(shù)功能:主函數(shù)*/void main(void) uchar display9=0xff,0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f;unsigned int a;while(1) EA=1; /開放總中斷 EX0=1; /允許使用外中斷EX1=1; IT0=1; /選擇負(fù)跳變來(lái)觸發(fā)外中斷IT1=1;IP=0;for(a=0;a<9;a+)Delay(500);P1=displaya; /*函數(shù)功能:外中斷T0的中斷服務(wù)程序*/void int0_isr(void) interrupt 0 using 1 /外中斷0的中斷編號(hào)為1 uchar n; for(n=0;n<10;n+) p1=0x0f;Delay(500);P1=0xf0;Delay(500); void int1_isr (void) interrupt 2 using 2 uchar n; for(n=0;n<10;n+) p1=0xff;Delay(500);P1=0;Delay(500); 實(shí)驗(yàn)三:定時(shí)器/計(jì)數(shù)器實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康模?)熟悉51單片機(jī)中斷初始化編程方法 (2)熟悉51單片機(jī)定時(shí)計(jì)數(shù)器的初始化編程方法(3)掌握定時(shí)計(jì)數(shù)器的應(yīng)用 2實(shí)驗(yàn)內(nèi)容 通過(guò)使用定時(shí)器T0的中斷來(lái)控制P2.0引腳的的LED的燈閃爍,要求閃爍時(shí)間2S,既亮1 S,滅1 S。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼#include<reg51.h> / 包含51單片機(jī)寄存器定義的頭文件sbit D1=P14; /將D1位定義為P1.4引腳unsigned char Countor; /設(shè)置全局變量,儲(chǔ)存定時(shí)器T0中斷次數(shù)/*函數(shù)功能:主函數(shù)*/void main(void) EA=1; /開總中斷ET0=1; /定時(shí)器T0中斷允許 TMOD=0x01; /使用定時(shí)器T0的模式2TH0=(65536-15536)/256; /定時(shí)器T0的高8位賦初值TL0=(65536-15536)%256; /定時(shí)器T0的高8位賦初值TR0=1; /啟動(dòng)定時(shí)器T0Countor=0; /從0開始累計(jì)中斷次數(shù)while(1)/無(wú)限循環(huán)等待中斷 ; /*函數(shù)功能:定時(shí)器T0的中斷服務(wù)程序*/void Time0(void) interrupt 1 using 0 /"interrupt"聲明函數(shù)為中斷服務(wù)函數(shù) /其后的1為定時(shí)器T0的中斷編號(hào);0表示使用第0組工作寄存器 Countor+; /中斷次數(shù)自加1if(Countor=20) /若累計(jì)滿20次,即計(jì)時(shí)滿1s D1=D1; /按位取反操作,將P2.0引腳輸出電平取反Countor=0; /將Countor清0,重新從0開始計(jì)數(shù) TH0=(65536-15536)/256; /定時(shí)器T0的高8位重新賦初值TL0=(65536-15536)%256; /定時(shí)器T0的高8位重新賦初值 實(shí)驗(yàn)四:串行口實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康?(1)掌握單片機(jī)的串行口的工作原理(2)掌握單片機(jī)串行口收發(fā)數(shù)據(jù)的方法 2實(shí)驗(yàn)內(nèi)容 本實(shí)驗(yàn)要求單片機(jī)U1通過(guò)其串行口TXD向單片機(jī)U2發(fā)送數(shù)據(jù)。單片機(jī)U1的P1口接8個(gè)開關(guān),U2的P1口接8個(gè)發(fā)光二極管。U1設(shè)置為只能發(fā)送不能接收,U1讀入P1口的8個(gè)開關(guān)狀態(tài)后,通過(guò)串行口發(fā)送到U2,U2將接收到的數(shù)據(jù)送入P1口,由P1口上連接的8個(gè)發(fā)光二極管顯示8個(gè)開關(guān)的狀態(tài)。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼/*發(fā)送單片機(jī)的發(fā)送程序*/#include<reg51.h> /包含寄存器的頭文件/*向PC發(fā)送一個(gè)字節(jié)數(shù)據(jù)*/void Send(unsigned char date) SBUF=date; while(TI=0); TI=0;/*延時(shí)約150ms*/ void delay(void) unsigned char m,n; for(m=0;m<200;m+) for(n=0;n<250;n+) ; /*函數(shù)功能:主函數(shù)*/void main(void) unsigned char temp; TMOD=0x20; /定時(shí)器T1工作于方式2 SCON=0x40; /串口工作方式1 PCON=0x00; /波特率9600 TH1=0xfd; /根據(jù)規(guī)定給定時(shí)器T1賦初值 TL1=0xfd; /根據(jù)規(guī)定給定時(shí)器T1賦初值 TR1=1; /啟動(dòng)定時(shí)器T1 P1=0xff;/讀取P1端口數(shù)據(jù) while(1) temp=P1; Send(temp); /發(fā)送數(shù)據(jù)i delay(); /50ms發(fā)送一次檢測(cè)數(shù)據(jù) /*接收單片機(jī)的接收程序*/#include<reg51.h> /包含單片機(jī)寄存器的頭文件/*接收一個(gè)字節(jié)數(shù)據(jù)*/ unsigned char Receive(void) unsigned char date; while(RI=0) ; /只要接收中斷標(biāo)志位RI沒(méi)有被置“1” /等待,直至接收完畢(RI=1) RI=0; /為了接收下一幀數(shù)據(jù),需將RI清0 date=SBUF; /將接收緩沖器中的數(shù)據(jù)存于dat return date;/*主函數(shù)*/void main(void) TMOD=0x20; /定時(shí)器T1工作于方式2 SCON=0x50; /SCON=0101 0000B,串口工作方式1,REN=1 PCON=0x00; /PCON=0000 0000B,波特率9600 TH1=0xfd; /根據(jù)規(guī)定給定時(shí)器T1賦初值 TL1=0xfd; /根據(jù)規(guī)定給定時(shí)器T1賦初值 TR1=1; /啟動(dòng)定時(shí)器T1 REN=1; /允許接收 while(1) P1=Receive(); /將接收到的數(shù)據(jù)送P1口顯示 實(shí)驗(yàn)五:輸入接口實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康?(1)掌握共陰極、共陽(yáng)極LED的使用方法(2)掌握矩陣鍵盤輸入掃描和識(shí)別程序編寫 2實(shí)驗(yàn)內(nèi)容 設(shè)計(jì)一個(gè)4X4的矩陣鍵盤,鍵盤的號(hào)碼015,要求編寫出一個(gè)鍵盤輸入掃描程序,要求單片機(jī)能根據(jù)鍵盤排列順序,能將按下去鍵盤號(hào)正確識(shí)別出來(lái),并采用兩個(gè)數(shù)碼管分別鍵盤號(hào)碼的個(gè)位和十位。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼#include<reg51.h> /包含51單片機(jī)寄存器定義的頭文件sbit P14=P14; sbit P15=P15; sbit P16=P16; sbit P17=P17; unsigned char code Tab =0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90; /數(shù)字09的段碼unsigned char keyval; /*函數(shù)功能:數(shù)碼管動(dòng)態(tài)掃描延時(shí)*/void led_delay(void) unsigned char j;for(j=0;j<200;j+) ; /*函數(shù)功能:按鍵值的數(shù)碼管顯示子程序*/ void display(unsigned char k) P2=0xbf; P0=Tabk/10; led_delay(); P2=0x7f; P0=Tabk%10; led_delay(); /*函數(shù)功能:軟件延時(shí)子程序*/ void delay20ms(void) unsigned char i,j;for(i=0;i<100;i+) for(j=0;j<60;j+) ; /*函數(shù)功能:主函數(shù)*/ void main(void) EA=1; ET0=1; TMOD=0x01; TH0=(65536-500)/256; TL0=(65536-500)%256; TR0=1; keyval=0x00; while(1) display(keyval); /*函數(shù)功能:定時(shí)器0的中斷服務(wù)子程序,進(jìn)行鍵盤掃描,判斷鍵位*/ void time0_interserve(void) interrupt 1 using 1 TR0=0; P1=0xf0; if(P1&0xf0)!=0xf0) delay20ms(); if(P1&0xf0)!=0xf0) P1=0xfe; if(P14=0) keyval=1; if(P15=0) keyval=2; if(P16=0) keyval=3; if(P17=0) keyval=4; P1=0xfd; if(P14=0) keyval=5; if(P15=0) keyval=6; if(P16=0) keyval=7; if(P17=0) keyval=8; P1=0xfb; if(P14=0) keyval=9; if(P15=0) keyval=10; if(P16=0) keyval=11; if(P17=0) keyval=12; P1=0xf7; if(P14=0) keyval=13; if(P15=0) keyval=14; if(P16=0) keyval=15; if(P17=0) keyval=16; TR0=1; TH0=(65536-500)/256; TL0=(65536-500)%256; 實(shí)驗(yàn)六:LCD循環(huán)顯示實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康?(1)掌握單片機(jī)驅(qū)動(dòng)顯示屏的方法(2)掌握LCD1602的使用方法 2實(shí)驗(yàn)內(nèi)容 采用1602型LCD循環(huán)顯示字符串“Welcome to Heifei Normal University”。其中LCD顯示模式為:16*2顯示、5*7點(diǎn)陣、8位數(shù)據(jù)口;顯示開、有光標(biāo)開且光標(biāo)閃爍;光標(biāo)右移,字符不移。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼#include<reg51.h> /包含單片機(jī)寄存器的頭文件#include<intrins.h> /包含_nop_()函數(shù)定義的頭文件sbit RS=P20; /寄存器選擇位,將RS位定義為P2.0引腳sbit RW=P21; /讀寫選擇位,將RW位定義為P2.1引腳sbit E=P22; /使能信號(hào)位,將E位定義為P2.2引腳sbit BF=P07; /忙碌標(biāo)志位,將BF位定義為P0.7引腳unsigned char code string ="Welcome to China " /*函數(shù)功能:延時(shí)1ms(3j+2)*i=(333+2)10=1010(微秒),可以認(rèn)為是1毫秒*/void delay1ms() unsigned char i,j; for(i=0;i<10;i+) for(j=0;j<33;j+) ; /*函數(shù)功能:延時(shí)若干毫秒入口參數(shù):n*/ void delay(unsigned char n) unsigned char i;for(i=0;i<n;i+) delay1ms(); /*函數(shù)功能:判斷液晶模塊的忙碌狀態(tài)返回值:result。result=1,忙碌;result=0,不忙*/ unsigned char BusyTest(void) bit result;RS=0; /根據(jù)規(guī)定,RS為低電平,RW為高電平時(shí),可以讀狀態(tài) RW=1; E=1; /E=1,才允許讀寫 _nop_(); /空操作 _nop_(); _nop_(); _nop_(); /空操作四個(gè)機(jī)器周期,給硬件反應(yīng)時(shí)間 result=BF; /將忙碌標(biāo)志電平賦給resultE=0; return result; /*函數(shù)功能:將模式設(shè)置指令或顯示地址寫入液晶模塊入口參數(shù):dictate*/void WriteInstruction (unsigned char dictate) while(BusyTest()=1); /如果忙就等待 RS=0; /根據(jù)規(guī)定,RS和R/W同時(shí)為低電平時(shí),可以寫入指令 RW=0; E=0; /E置低電平(根據(jù)表8-6,寫指令時(shí),E為高脈沖, / 就是讓E從0到1發(fā)生正跳變,所以應(yīng)先置"0" _nop_(); _nop_(); /空操作兩個(gè)機(jī)器周期,給硬件反應(yīng)時(shí)間 P0=dictate; /將數(shù)據(jù)送入P0口,即寫入指令或地址 _nop_(); _nop_(); _nop_(); _nop_(); /空操作四個(gè)機(jī)器周期,給硬件反應(yīng)時(shí)間 E=1; /E置高電平 _nop_(); _nop_(); _nop_(); _nop_(); /空操作四個(gè)機(jī)器周期,給硬件反應(yīng)時(shí)間 E=0; /當(dāng)E由高電平跳變成低電平時(shí),液晶模塊開始執(zhí)行命令 /*函數(shù)功能:指定字符顯示的實(shí)際地址入口參數(shù):x*/ void WriteAddress(unsigned char x) WriteInstruction(x|0x80); /顯示位置的確定方法規(guī)定為"80H+地址碼x" /*函數(shù)功能:將數(shù)據(jù)(字符的標(biāo)準(zhǔn)ASCII碼)寫入液晶模塊入口參數(shù):y(為字符常量)*/ void WriteData(unsigned char y) while(BusyTest()=1); RS=1; /RS為高電平,RW為低電平時(shí),可以寫入數(shù)據(jù) RW=0; E=0; /E置低電平(根據(jù)表8-6,寫指令時(shí),E為高脈沖, / 就是讓E從0到1發(fā)生正跳變,所以應(yīng)先置"0" P0=y; /將數(shù)據(jù)送入P0口,即將數(shù)據(jù)寫入液晶模塊 _nop_(); _nop_(); _nop_(); _nop_(); /空操作四個(gè)機(jī)器周期,給硬件反應(yīng)時(shí)間 E=1; /E置高電平 _nop_(); _nop_(); _nop_(); _nop_(); /空操作四個(gè)機(jī)器周期,給硬件反應(yīng)時(shí)間 E=0; /當(dāng)E由高電平跳變成低電平時(shí),液晶模塊開始執(zhí)行命令 /*函數(shù)功能:對(duì)LCD的顯示模式進(jìn)行初始化設(shè)置*/void LcdInitiate(void) delay(15); /延時(shí)15ms,首次寫指令時(shí)應(yīng)給LCD一段較長(zhǎng)的反應(yīng)時(shí)間 WriteInstruction(0x38); /顯示模式設(shè)置:162顯示,57點(diǎn)陣,8位數(shù)據(jù)接口delay(5); /延時(shí)5msWriteInstruction(0x38);delay(5);WriteInstruction(0x38);delay(5);WriteInstruction(0x0f); /顯示模式設(shè)置:顯示開,有光標(biāo),光標(biāo)閃爍delay(5);WriteInstruction(0x06); /顯示模式設(shè)置:光標(biāo)右移,字符不移delay(5);WriteInstruction(0x01); /清屏幕指令,將以前的顯示內(nèi)容清除delay(5); void main(void) /主函數(shù) unsigned char i; LcdInitiate(); /調(diào)用LCD初始化函數(shù) delay(10); while(1) WriteInstruction(0x01);/清顯示:清屏幕指令 WriteAddress(0x00); / 設(shè)置顯示位置為第一行的第5個(gè)字 i = 0;while(stringi != 0)/ 顯示字符WriteData(stringi);i+;delay(150); for(i=0;i<4;i+) delay(250); 實(shí)驗(yàn)七:簡(jiǎn)易計(jì)算器設(shè)計(jì)實(shí)驗(yàn)1實(shí)驗(yàn)?zāi)康?(1)學(xué)會(huì)將單片機(jī)的輸入、輸出和內(nèi)部定時(shí)計(jì)數(shù)器等功能綜合應(yīng)用(2)掌握各部分功能綜合調(diào)試能力2實(shí)驗(yàn)內(nèi)容 設(shè)計(jì)一個(gè)簡(jiǎn)易計(jì)算器,能夠進(jìn)行加減乘除運(yùn)算。3實(shí)驗(yàn)運(yùn)行結(jié)果圖4源代碼 #include<reg51.h> /包含單片機(jī)寄存器的頭文件#include<intrins.h> /包含_nop_()函數(shù)定義的頭文件/#include<at89x51.h>sbit P0_4 = 0x84;sbit P0_5 = 0x85;sbit P0_6 = 0x86;sbit P0_7 = 0x87;void delay10ms()unsigned char a,b;for(a=100;a>0;a-)for(b=50;b>0;b-);void delay1ms()unsigned char a,b;for(a=10;a>0;a-)for(b=50;b>0;b-);unsigned char code tab=0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71;void main()unsigned char m,i,j,k,l,p,cc2,cc3,cc4,cc5,o;unsigned long c1,c2,cc;unsigned int cc1;unsigned char aa0,aa1,aa2,aa3,bb0,bb1,bb2,bb3;unsigned char a0,a1,a2,a3,b0,b1,b2,b3;bit q,n;while(1) static dian=0x80,fuhao=0; for (j=0;j<=3;j+) k=0xfe<<j; l=0xfe>>(8-j); P0=k|l; if(P0_4=0) delay10ms(); if(P0_4=0) while(P0_4=0) P2=b0; P1=0xfe; delay1ms(); P2=0; P2=b1; P1=0xfd; delay1ms(); P2=0; P2=b2; P1=0xfb; delay1ms(); P2=0; P2=b3; P1=0xf7; delay1ms(); P2=0; P2=a0; P1=0xef; delay1ms(); P2=0; P2=a1; P1=0xdf; delay1ms(); P2=0; P2=a2; P1=0xbf; delay1ms(); P2=0; P2=a3; P1=0x7f; delay1ms(); P2=0; P2=dian; P1=0xef; delay1ms(); P2=0; P2=fuhao; P1=0xef; delay1ms(); P2=0; if(tab4*j=0x39) n=1; i=3; else m+; if(m<=4&n=0) a3=a2; a2=a1; a1=a0; a0=tab4*j; aa3=aa2; aa2=aa1; aa1=aa0; aa0=4*j; else if(p<=3&n=1) b3=b2; b2=b1; b1=b0; b0=tab4*j; bb3=bb2; bb2=bb1; bb1=bb0; bb0=4*j; p+; if(P0_5=0) delay10ms();if(P0_5=0)while(P0_5=0) P2=b0; P1=0xfe; delay1ms(); P2=0; P2=b1; P1=0xfd; delay1ms(); P2=0; P2=b2; P1=0xfb; delay1ms(); P2=0; P2=b3; P1=0xf7; delay1ms(); P2=0; P2=a0; P1=0xef; delay1ms(); P2=0; P2=a1; P1=0xdf; delay1ms(); P2=0; P2=a2; P1=0xbf; delay1ms(); P2=0; P2=a3; P1=0x7f; delay1ms(); P2=0; P2=dian; P1=0xef; delay1ms(); P2=0; P2=fuhao; P1=0xef; delay1ms(); P2=0; if(tab4*j+1=0x5e) i=4; n=1; else m+; if(m<=4&n=0) a3=a2; a2=a1; a1=a0; a0=tab4*j+1; aa3=aa2; aa2=aa1; aa1=aa0; aa0=4*j+1; else if(p<=3&n=1) b3=b2; b2=b1; b1=b0; b0=tab4*j+1; bb3=bb2; bb2=bb1; bb1=bb0; bb0=4*j+1; p+; if(P0_6=0) delay10ms();if(P0_6=0)while(P0_6=0) P2=b0; P1=0xfe; delay1ms(); P2=0; P2=b1; P1=0xfd; delay1ms(); P2=0; P2=b2; P1=0xfb; delay1ms(); P2=0; P2=b3; P1=0xf7; delay1ms(); P2=0; P2=a0; P1=0xef; delay1ms(); P2=0; P2=a1; P1=0xdf; delay1ms(); P2=0; P2=a2; P1=0xbf; delay1ms(); P2=0; P2=a3; P1=0x7f; delay1ms(); P2=0; P2=dian; P1=0xef; delay1ms(); P2=0; P2=fuhao; P1=0xef; delay1ms(); P2=0; if(tab4*j+2=0x77) i=1; n=1; else if(tab4*j+2=0x79) q=1; n=1; else m+; if(m<=4&n=0) a3=a2; a2=a1; a1=a0; a0=tab4*j+2; aa3=aa2; aa2=aa1; aa1=aa0; aa0=4*j+2; else if(p<=3&n=1) b3=b2; b2=b1; b1=b0; b0=tab4*j+2; bb3=bb2; bb2=bb1; bb1=bb0; bb0=4*j+2; p+; if(P0_7=0)delay10ms();if(P0_7=0)while(P0_7=0) P2=b0; P1=0xfe; delay1ms(); P2=0; P2=b1; P1=0xfd; delay1ms(); P2=0; P2=b2; P1=0xfb; delay1ms(); P2=0; P2=b3; P1=0xf7; delay1ms(); P2=0; P2=a0; P1=0xef; delay1ms(); P2=0; P2=a1; P1=0xdf; delay1ms(); P2=0; P2=a2; P1=0xbf; delay1ms(); P2=0; P2=a3; P1=0x7f; delay1ms(); P2=0; P2=dian;

注意事項(xiàng)

本文(《單片機(jī)原理及應(yīng)用》實(shí)驗(yàn)報(bào)告[共39頁(yè)])為本站會(huì)員(gfy****yf)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!