九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

電子科技大學(xué)電子設(shè)計及自動第二講.ppt

  • 資源ID:5374552       資源大?。?span id="24d9guoke414" class="font-tahoma">209KB        全文頁數(shù):19頁
  • 資源格式: PPT        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

電子科技大學(xué)電子設(shè)計及自動第二講.ppt

電子設(shè)計自動化技術(shù)第二講VHDL程序的基本結(jié)構(gòu) 本章要點 對VHDL程序的宏觀結(jié)構(gòu)有一個明確的概念性認(rèn)識 認(rèn)識實體 構(gòu)造體的基本格式理解實體 構(gòu)造體在VHDL程序中的基本功能能夠嘗試編寫簡單的VHDL程序 VHDL程序組成部分及其功能 VHDL程序由模塊構(gòu)成 每個模塊對應(yīng)于一個電路塊 模塊至少由三部分組成 庫和包library 設(shè)計資源 實體entity 外部端口 構(gòu)造體architecture 內(nèi)部結(jié)構(gòu) VHDL程序組成部分 實體用于描述所設(shè)計的系統(tǒng)的外部接口信號 構(gòu)造體用于描述系統(tǒng)內(nèi)部的結(jié)構(gòu)和行為 配置用于從庫中選取不同單元 器件 來組成系統(tǒng)設(shè)計的不同版本 包集合存放各設(shè)計模塊都能共享的數(shù)據(jù)類型 常數(shù)和子程序等 庫存放已經(jīng)編譯了的實體 構(gòu)造體 包集合和配置 庫可由系統(tǒng)工程師生成或由ASIC芯片商提供 以便在設(shè)計中共享 基本設(shè)計單元的構(gòu)成 實體和構(gòu)造體兩部分組成了VHDL的基本設(shè)計單元 實體部分規(guī)定設(shè)計單元的輸入輸出接口信號和引腳 構(gòu)造體部分定義設(shè)計單元的具體構(gòu)造或功能 行為 VHDL程序的基本構(gòu)成格式 VHDL程序的基本構(gòu)成格式說明 實體說明和構(gòu)造體說明合在一起構(gòu)成是VHDL的基本設(shè)計單元 而基本設(shè)計單元配上庫說明即構(gòu)成VHDL基本格式 建議 初學(xué)者首先使用IEEE庫 IEEE STD Logic 1164包 初學(xué)者所調(diào)用的資源一般不會超出IEEE STD Logic 1164包的范圍 而且所有的VHDL編譯工具都帶有IEEE庫 IEEE STD Logic 1164包 實體的結(jié)構(gòu) ENTITY實體名IS 類屬參數(shù)說明 端口說明 END實體名 在VHDL中 實體說明單元是一個獨立的完整的語言模塊 實體說明單元必須按照上述結(jié)構(gòu)來編寫 即 實體說明單元應(yīng)以語句 ENTITY實體名IS 開始 以語句 END實體名 結(jié)束 例2 1 二選一選擇器的實體說明 ENTITYmuxIS 實體說明開始PORT d0 d1 sel INSTD LOGIC q OUTSTD LOGIC 端口說明ENDmux 實體結(jié)束 構(gòu)造體的結(jié)構(gòu) ARCHTECTURE構(gòu)造體名OF實體名IS 定義語句 內(nèi)部信號 常數(shù) 數(shù)據(jù)類型 函數(shù)等的定義BEGIN 并行處理語句 END構(gòu)造體名 一個完整的構(gòu)造體由兩個基本層次組成 對數(shù)據(jù)類型 常數(shù) 信號 子程序和元件等元素的說明部分 2 描述實體邏輯行為的 以各種不同的描述風(fēng)格表示的功能描述語句 例2 2 二選一選擇器的構(gòu)造體說明 ARCHITECTUREconnectOFmuxIS 構(gòu)造體定義BEGIN 構(gòu)造體開始標(biāo)記PROCESS d0 d1 sel 進程signaltmp1 tmp2 tmp3 std logic 信號的聲明BEGIN 進程開始標(biāo)記tmp1 d0ANDsel 信號賦值語句tmp2 d1AND NOTsel 信號賦值語句tmp3 tmp1ORtmp2 信號賦值語句q tmp3 信號賦值語句ENDPROCESS 進程結(jié)束ENDconnect 構(gòu)造體結(jié)束 例2 2 二選一選擇器的構(gòu)造體說明 續(xù) libraryieee useieee std logic 1164 all entityINVisport x instd logic z outstd logic endINV architecturertlofINVisbeginz notx endrtl 我的處女作 秘籍一 四句話搞定庫申明libraryieee useieee std logic 1164 all useieee std logic unsigned all useieee std logic arith all 小語 將這四句話考到寫字板下 以后寫程序照考 實體基本格式entityentity nameisport signal name modesignal type signal name modesignal type endentity name 實體以entity實體名is開始 以end實體名 結(jié)束 實體的主要內(nèi)容為端口 port 說明 要點 實體名 信號名 信號模式 信號類型實體名 信號名英文字母和數(shù)字構(gòu)成 字母開頭 可在名稱中使用單個下劃線符號 字母不分大小寫 名稱應(yīng)具有意義 方便記憶 名稱不能重復(fù)使用 很多編譯器規(guī)定 文件名和項目名必須與實體名相同 信號模式每個端口信號都必須規(guī)定信號模式 信號模式規(guī)定信號流動的方向 常用信號模式 in信號由該端口輸入out信號由該端口輸出inout雙向端口 通常由三態(tài)門控制buffer輸出端口 但模塊內(nèi)可以使用該信號buffer信號只能定義在兩個端口之間 buffer信號連接的端口模式都應(yīng)為buffer 信號類型 所有信號都必須規(guī)定其類型 數(shù)字電路設(shè)計中最常用的類型為 std logic單個邏輯量std logic vector邏輯數(shù)組 總線邏輯量其他語法要點除了第一行entity is以外 每一句以分號 結(jié)束 編寫程序時 一行可以含若干句 以分號間隔 一句也可以寫若干行 在一句結(jié)束后 可以用 符號后接說明文字 這些文字用于幫助理解程序 不會對編譯產(chǎn)生影響 單詞之間必須使用空格 并列信號間使用逗號 作業(yè)

注意事項

本文(電子科技大學(xué)電子設(shè)計及自動第二講.ppt)為本站會員(zhu****ei)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!