九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > PPT文檔下載  

ED技術(shù)應(yīng)用的項(xiàng)目七 交通燈控制器

  • 資源ID:55692837       資源大?。?span id="24d9guoke414" class="font-tahoma">1.77MB        全文頁(yè)數(shù):50頁(yè)
  • 資源格式: PPT        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

ED技術(shù)應(yīng)用的項(xiàng)目七 交通燈控制器

EDA技術(shù)應(yīng)用技術(shù)應(yīng)用課件課件一、項(xiàng)目描述一、項(xiàng)目描述n 掌握有限狀態(tài)機(jī)(Finited State Machine )的原理。n 了解交通燈控制系統(tǒng)的工作原理。n 采用狀態(tài)機(jī)的原理來(lái)設(shè)計(jì)交通燈控制系統(tǒng)。一、項(xiàng)目描述一、項(xiàng)目描述序號(hào)序號(hào)類別類別目目 標(biāo)標(biāo)一知識(shí)點(diǎn)1.掌握VHDL語(yǔ)言設(shè)計(jì)方法2.掌握有限狀態(tài)機(jī)的原理3.了解交通燈控制系統(tǒng)的工作原理二技能1.會(huì)利用狀態(tài)機(jī)原理進(jìn)行電路設(shè)計(jì)2.使用VHDL語(yǔ)言進(jìn)行EDA設(shè)計(jì)3.Quartus II軟件的原理圖輸入設(shè)計(jì)方法4.能使用Quartus II軟件的對(duì)設(shè)計(jì)電路進(jìn)行功能仿真5.使用Quartus II軟件下載設(shè)計(jì)文件到學(xué)習(xí)開(kāi)發(fā)板6.學(xué)習(xí)開(kāi)發(fā)板的調(diào)試三職業(yè)素養(yǎng)1.學(xué)生的溝通能力及團(tuán)隊(duì)協(xié)作精神2.良好的職業(yè)道德3.質(zhì)量、成本、安全、環(huán)保意識(shí)二、項(xiàng)目資訊二、項(xiàng)目資訊n 可編程邏輯器件概述n FPGA與CPLD n MAX II器件介紹 n PLD開(kāi)發(fā)軟件n 有限狀態(tài)機(jī)原理介紹n 交通燈工作原理介紹(前四項(xiàng)參見(jiàn)專題課件相應(yīng)內(nèi)容) 三、項(xiàng)目分析三、項(xiàng)目分析n 實(shí)際設(shè)計(jì)中比較復(fù)雜的時(shí)序邏輯電路的設(shè)計(jì)通常采用有限狀態(tài)機(jī)實(shí)現(xiàn)。n 有限狀態(tài)機(jī)的特征:1)用有限個(gè)狀態(tài)概括系統(tǒng)整個(gè)工作過(guò)程;2)各狀態(tài)可根據(jù)條件進(jìn)行相互轉(zhuǎn)換;3)各狀態(tài)均有相應(yīng)輸出信號(hào);4)系統(tǒng)復(fù)位時(shí)指定具體初始狀態(tài)。三、項(xiàng)目分析三、項(xiàng)目分析n 根據(jù)是否具有外部輸入控制信號(hào),有限狀態(tài)機(jī)可以分為: 1)米勒型(mealy)狀態(tài)機(jī),狀態(tài)的轉(zhuǎn)移由當(dāng)前狀態(tài)、時(shí)鐘與外部輸入控制信號(hào)共同控制; 2)摩爾型(moore)狀態(tài)機(jī),狀態(tài)的轉(zhuǎn)移只受當(dāng)前狀態(tài)和時(shí)鐘的控制。三、項(xiàng)目分析三、項(xiàng)目分析n 該狀態(tài)機(jī)共有3個(gè)狀態(tài)(S0、S1、S2),X為外部控制信號(hào),Y為輸出控制信號(hào)。 三、項(xiàng)目分析三、項(xiàng)目分析LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mealy IS PORT (x,clk:IN BIT; y:OUT BIT);END mealy;ARCHITECTURE behave OF mealy IS TYPE fmst IS (s0,s1,s2); SIGNAL state:fmst;BEGIN定義三個(gè)狀態(tài)定義實(shí)體三、項(xiàng)目分析三、項(xiàng)目分析P1: PROCESS(clk)BEGIN IF clkevent AND clk=1THEN CASE state IS WHEN s0= IF x=0 THEN state=s0; ELSE state IF x =0 THEN state=s0; ELSE state IF x =0 THEN state=s0; ELSE state y IF state=s2 THEN y=1; ELSE y=0; END IF; END CASE; END PROCESS P2;END behave;各狀態(tài)對(duì)應(yīng)的輸出三、項(xiàng)目分析三、項(xiàng)目分析n 模仿設(shè)計(jì)案例設(shè)計(jì)雙向交通燈控制系統(tǒng);n 任務(wù)描述:任務(wù)描述: 請(qǐng)?jiān)O(shè)計(jì)一個(gè)雙向(南北向、東西向)交通燈系統(tǒng)控制系統(tǒng),控制實(shí)驗(yàn)板上6盞交通燈(東西向3盞,南北向3盞)協(xié)調(diào)工作,并用2個(gè)兩位數(shù)碼管實(shí)現(xiàn)兩個(gè)方向的倒計(jì)時(shí)顯示。規(guī)定南北向綠燈時(shí)間為30秒,紅燈時(shí)間為20秒,黃燈時(shí)間統(tǒng)一為3秒。n 要求:要求:1、利用有限狀態(tài)機(jī)進(jìn)行設(shè)計(jì)。2、在Quartus II平臺(tái)上,采用VHDL語(yǔ)言來(lái)進(jìn)行設(shè)計(jì)輸入并仿真驗(yàn)證。3、下載到EDA學(xué)習(xí)開(kāi)發(fā)板上進(jìn)行調(diào)試。n 目標(biāo):目標(biāo):1、掌握有限狀態(tài)機(jī)的特征和原理;2、了解交通燈控制系統(tǒng)的工作原理; 三、項(xiàng)目分析三、項(xiàng)目分析n 微機(jī)一臺(tái)(Windows XP系統(tǒng)、安裝好Quartus 7.2等相關(guān)軟件);n EDA學(xué)習(xí)開(kāi)發(fā)板一塊;n USB電源線一條;n USB下載線一條。三、項(xiàng)目分析三、項(xiàng)目分析 EDA學(xué)習(xí)開(kāi)發(fā)板采用的芯片為Altera公司的EPM1270T144C5型CPLD,實(shí)驗(yàn)板上提供了豐富的外設(shè),除了常見(jiàn)的按鍵,LED燈,數(shù)碼管和蜂鳴器外,還包括有液晶和兩個(gè)擴(kuò)展插口等,方便與實(shí)驗(yàn)子板想連接,拓展實(shí)驗(yàn)內(nèi)容。三、項(xiàng)目分析三、項(xiàng)目分析EDA學(xué)習(xí)開(kāi)發(fā)板(母板)雙向交通燈實(shí)驗(yàn)板(子板)三、項(xiàng)目分析三、項(xiàng)目分析功功 能能MAX II output功功 能能MAX II outputLCD接口接口LCD_I01121USB接口接口TXD7LCD_I02120RXD8LCD_I03119USB_RXFN1LCD_I04118USB_WU2LCD_I05117USB_PWREN3LCD_I06114USB_RDN142LCD_I07113USB_WR143LCD_I08112USB_TXEN144LCD_I09111USB_D0132LCD_I010110USB_D1133LCD_I011109USB_D2134LCD_I012108USB_D3137USB_D4138USB_D5139USB_D6140USB_D7141IO3573IO3674三、項(xiàng)目分析三、項(xiàng)目分析功功 能能MAX II output功功 能能MAX II output擴(kuò)展接口擴(kuò)展接口J5IO1107擴(kuò)展接口擴(kuò)展接口J6IO1996IO2106IO2095IO3105IO2194IO4104IO2293IO5103IO2391IO6102IO2489IO7101IO2588IO898IO2687IO997IO2786IO1066IO2885IO1163IO2984IO1262IO3081IO1360IO3180IO1459IO3279IO1558IO3378IO1657IO3477IO1755IO3576IO1853IO3675三、項(xiàng)目分析三、項(xiàng)目分析功功 能能MAX II output功功 能能MAX II output按鍵開(kāi)關(guān)按鍵開(kāi)關(guān)KEY142七段數(shù)碼管七段數(shù)碼管7SEG1_A23KEY2437SEG1_B21KEY3447SEG1_C29KEY4457SEG1_D27KEY5487SEG1_E28KEY6497SEG1_F22KEY7507SEG1_G24KEY8517SEG2_A15撥動(dòng)開(kāi)關(guān)撥動(dòng)開(kāi)關(guān)SW1307SEG2_B20SW2317SEG2_C11SW3327SEG2_D13SW4377SEG2_E12SW5387SEG2_F16SW6397SEG2_G14SW740LED燈燈LED1131SW841LED2130LED3129LED4127LED5125LED6124LED7123LED8122四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 設(shè)計(jì)一個(gè)單方向交通燈控制系統(tǒng);n 設(shè)計(jì)指標(biāo): 1)控制紅黃綠三盞燈交替工作,數(shù)碼管顯示倒計(jì)時(shí)時(shí)間;2)綠燈時(shí)間為30秒(S0),黃燈時(shí)間為3秒(S1) ,紅燈時(shí)間為20秒(S2) ; 3)能通過(guò)按鍵復(fù)位;四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 分頻模塊:對(duì)外部時(shí)鐘信號(hào)進(jìn)行分頻得到1Hz信號(hào);n 系統(tǒng)控制模塊:控制交通燈狀態(tài)的轉(zhuǎn)換,并輸出相應(yīng)控制信號(hào);n 顯示模塊:控制數(shù)碼管及發(fā)光二極管;四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 外部輸入脈沖為12MHz,分頻后輸出信號(hào)為1Hz。(分頻模塊設(shè)計(jì)詳見(jiàn)專題課件)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 系統(tǒng)控制模塊由倒計(jì)時(shí)模塊和狀態(tài)機(jī)模塊構(gòu)成;n 倒計(jì)時(shí)模塊主要實(shí)現(xiàn)數(shù)碼管倒計(jì)時(shí)信號(hào)的控制;n 狀態(tài)機(jī)模塊主要實(shí)現(xiàn)交通燈各狀態(tài)間的轉(zhuǎn)換。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SM ISPORT(CLK: IN STD_LOGIC; CR: IN STD_LOGIC; LAMP: OUT STD_LOGIC_VECTOR(2 DOWNTO 0); LEDM: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); LEDS: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END SM;庫(kù)文件定義實(shí)體四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施ARCHITECTURE BEHAVIORAL OF SM ISTYPE STATES IS (S2,S1,S0);SIGNAL STATE: STATES:=S0;SIGNAL NEXT_STATE: STATES:=S0;SIGNAL SEC: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL MIN: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL DATAS: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL DATAM: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL LIGHT: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL LOAD: STD_LOGIC;BEGIN定義狀態(tài)定義信號(hào)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施P1:PROCESS(CLK,CR,LOAD) BEGIN IF CR=0 THENSEC=0000;MIN=0000; ELSIF RISING_EDGE(CLK)THENIF LOAD=1 THENSEC=DATAS;MIN=DATAM;ELSEIF SEC=0000 THENSEC=1001;ELSESEC=SEC-1;END IF;IF SEC=0000 THENMIN=MIN-1;END IF;END IF; END IF; END PROCESS P1;倒計(jì)時(shí)結(jié)束轉(zhuǎn)為下一狀態(tài)倒計(jì)時(shí)結(jié)束為倒計(jì)時(shí)模塊賦初值倒計(jì)時(shí)程序四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施P2:PROCESS(CLK)BEGINIF (FALLING_EDGE(CLK) THENIF(SEC=0000) AND (MIN=0000)THENLOAD=1;STATE=NEXT_STATE;ELSELOAD LIGHT=100; -GREEN 30SNEXT_STATE=S1; -NEXT YELLOWDATAM=0010;DATAS LIGHT=010; -YELLOW 3SNEXT_STATE=S2; -NEXT REDDATAM=0000;DATAS LIGHT=001; -RED 20SNEXT_STATE=S0; -NEXT GREENDATAM=0001;DATAS=“1001;END CASE;END PROCESS P3;LAMP=LIGHT;LEDM=MIN;LEDS=SEC;END BEHAVIORAL;東西向綠燈(S0)東西向黃燈(S1)東西向綠燈(S2)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 數(shù)碼管采用靜態(tài)顯示模式,該模塊功能即是將輸入的8421BCD碼轉(zhuǎn)換為7段數(shù)碼管控制信號(hào)。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LED ISPORT( DATA_IN : IN STD_LOGIC_VECTOR (3 DOWNTO 0); -輸入輸入8421BCD8421BCD碼碼 SEG_OUT : OUT STD_LOGIC_VECTOR (6 DOWNTO 0) -輸出數(shù)碼管段位碼輸出數(shù)碼管段位碼);END LED;ARCHITECTURE BIN27SEG OF LED ISBEGIN四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施PROCESS(DATA_IN)BEGIN SEG_OUT 0); CASE DATA_IN ISWHEN 0000 = SEG_OUT SEG_OUT SEG_OUT SEG_OUT SEG_OUT SEG_OUT SEG_OUT SEG_OUT SEG_OUT SEG_OUT NULL; END CASE;END PROCESS;END BIN27SEG;顯示譯碼程序四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施輸入、輸出端輸入、輸出端管腳號(hào)管腳號(hào)功能功能CLK1812M晶振輸入晶振輸入KEY42按鍵按鍵1LAMP2131LED1LAMP1130LED2LAMP0129LED3LEDM6147SEG2_GLEDM5167SEG2_FLEDM4127SEG2_ELEDM3137SEG2_DLEDM2117SEG2_CLEDM1207SEG2_BLEDM0157SEG2_ALEDS6247SEG1_GLEDS5227SEG1_FLEDS4287SEG1_ELEDS3277SEG1_DLEDS2297SEG1_CLEDS1217SEG1_BLEDS0237SEG1_A依次代表綠、黃、紅燈依次代表綠、黃、紅燈對(duì)應(yīng)兩位數(shù)碼管的十位對(duì)應(yīng)兩位數(shù)碼管的十位對(duì)應(yīng)兩位數(shù)碼管的個(gè)位對(duì)應(yīng)兩位數(shù)碼管的個(gè)位四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施n 交通燈通常有東、西、南、北四個(gè)方向,其中東西方向完全一致,南北方向也完全一致,因此只需兩個(gè)控制器來(lái)控制;n 每組交通燈共有紅、黃、綠三盞燈和數(shù)碼管組成,按綠燈黃燈紅燈綠燈順序變化,并通過(guò)數(shù)碼管顯示倒計(jì)時(shí)時(shí)間;n 交通燈控制系統(tǒng)可簡(jiǎn)單看作摩爾型狀態(tài)機(jī),可分為4種不同狀態(tài),詳見(jiàn)下表;四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施狀 態(tài)東 西 方 向南 北 方 向綠 黃 紅綠 黃 紅11 0 00 0 120 1 00 0 130 0 11 0 040 0 10 1 0四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施 交通燈時(shí)間分配: 1)東西向綠燈時(shí)間30秒,黃燈3秒,紅燈20秒; 2)南北向紅燈時(shí)間33秒,黃燈3秒,綠燈17秒。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施 交通燈狀態(tài): 1)東西向綠燈(S0); 2)東西向黃燈(S1); 3)南北向綠燈(S2); 4)南北向黃燈(S3)。四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SM4 ISPORT(CLK: IN STD_LOGIC; -時(shí)鐘信號(hào)時(shí)鐘信號(hào) CR: IN STD_LOGIC; -復(fù)位信號(hào)復(fù)位信號(hào) LAMP: OUT STD_LOGIC_VECTOR(5 DOWNTO 0); -紅黃綠燈信號(hào)紅黃綠燈信號(hào) WELED1: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -東西向倒計(jì)時(shí)十位信號(hào)東西向倒計(jì)時(shí)十位信號(hào) WELED0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -東西向倒計(jì)時(shí)個(gè)位信號(hào)東西向倒計(jì)時(shí)個(gè)位信號(hào) NSLED1: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -南北向倒計(jì)時(shí)十位信號(hào)南北向倒計(jì)時(shí)十位信號(hào) NSLED0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) -南北向倒計(jì)時(shí)個(gè)位信號(hào)南北向倒計(jì)時(shí)個(gè)位信號(hào) );END SM4;庫(kù)文件定義實(shí)體四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施ARCHITECTURE BEHAVIORAL OF SM4 ISTYPE STATES IS(S3,S2,S1,S0);SIGNAL STATE: STATES:=S0;SIGNAL NEXT_STATE: STATES:=S0;SIGNAL COUNT0:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL COUNT1:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CDATA0: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CDATA1: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL WESEC0: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL WESEC1: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL WEDATA0: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL WEDATA1: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL NSSEC0: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL NSSEC1: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL NSDATA0: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL NSDATA1: STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL LIGHT: STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL LOAD:STD_LOGIC;BEGIN定義狀態(tài)定義信號(hào)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施P1: PROCESS(CLK,CR,LOAD)BEGINIF CR=0 THENCOUNT0=0000;COUNT1=0000;ELSIF RISING_EDGE(CLK)THENIF LOAD=1THENCOUNT0=CDATA0;COUNT1=CDATA1;ELSEIF COUNT0=0000 THEN COUNT0=1001;ELSECOUNT0=COUNT0-1;END IF;IF COUNT0=0000THENCOUNT1=COUNT1-1;END IF;END IF;END IF;END PROCESS P1;倒計(jì)時(shí)器復(fù)位倒計(jì)時(shí)結(jié)束為倒計(jì)時(shí)模塊賦初值倒計(jì)時(shí)程序四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施P2: PROCESS(CLK)BEGINIF(FALLING_EDGE(CLK)THENIF(COUNT0=0000)AND(COUNT1=0000)THENLOAD=1;STATE=NEXT_STATE;ELSELOADLIGHT=100001;NEXT_STATE=S1;CDATA1=0010;CDATA0LIGHT=010001;NEXT_STATE=S2;CDATA1=0000;CDATA0LIGHT=001100;NEXT_STATE=S3;CDATA1=0001;CDATA0LIGHT=001010;NEXT_STATE=S0;CDATA1=0000;CDATA0 WEDATA1=0010;WEDATA0=1001; NSDATA1=0011;NSDATA0 WEDATA1=0000;WEDATA0 WEDATA1=0001;WEDATA0=1001; NSDATA1=0001;NSDATA0 NSDATA1=0000;NSDATA0=0010;END CASE;END PROCESS P4;根據(jù)不同狀態(tài)為兩個(gè)方向的倒計(jì)時(shí)計(jì)數(shù)器賦初值東西向綠燈(S0)東西向黃燈(S1)南北向綠燈(S2)南北向黃燈(S3)四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施P5: PROCESS(CLK,LOAD,STATE)BEGINIF RISING_EDGE(CLK)THENIF (LOAD=1)AND(NOT(STATE=S3)THENWESEC0=WEDATA0;WESEC1=WEDATA1;ELSEIF WESEC0=0000 THENWESEC0=1001;ELSEWESEC0=WESEC0-1;END IF;IF WESEC0=0000THENWESEC1=WESEC1-1;END IF;END IF;END IF;END PROCESS P5;東西向交通燈倒計(jì)時(shí)器四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施P6: PROCESS(CLK,LOAD)BEGINIF RISING_EDGE(CLK)THENIF (LOAD=1)AND (NOT(STATE=S1)THENNSSEC0=NSDATA0;NSSEC1=NSDATA1;ELSEIF NSSEC0=0000 THENNSSEC0=1001;ELSENSSEC0=NSSEC0-1;END IF;IF NSSEC0=0000THENNSSEC1=NSSEC1-1;END IF;END IF;END IF;END PROCESS P6;南北向交通燈倒計(jì)時(shí)器四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施LAMP=LIGHT;WELED1=WESEC1;WELED0=WESEC0;NSLED1=NSSEC1;NSLED0=NSSEC0;END BEHAVIORAL;將寄存器信號(hào)賦給輸出結(jié)束結(jié)構(gòu)體四、項(xiàng)目實(shí)施四、項(xiàng)目實(shí)施五、項(xiàng)目評(píng)價(jià)與總結(jié)提高五、項(xiàng)目評(píng)價(jià)與總結(jié)提高 考核點(diǎn)及占項(xiàng)目考核點(diǎn)及占項(xiàng)目分值比分值比建議考核方式建議考核方式評(píng)價(jià)標(biāo)準(zhǔn)評(píng)價(jià)標(biāo)準(zhǔn)優(yōu)優(yōu)良良及格及格1根據(jù)項(xiàng)目要求完成資訊并制訂行動(dòng)方案(15%)教師評(píng)價(jià)+互評(píng)能根據(jù)項(xiàng)目要求很好地完成資訊及學(xué)習(xí),能制訂合理的行動(dòng)計(jì)劃,計(jì)劃詳細(xì)。能根據(jù)項(xiàng)目要求完成資訊及學(xué)習(xí),能制訂合理的行動(dòng)計(jì)劃。能根據(jù)項(xiàng)目要求完成資訊及學(xué)習(xí),能制訂合理的行動(dòng)計(jì)劃2詳細(xì)設(shè)計(jì)(20%)教師評(píng)價(jià)+互評(píng)交通燈控制器軟硬件設(shè)計(jì)正確,項(xiàng)目方案可實(shí)施。交通燈控制器軟硬件設(shè)計(jì)基本正確,項(xiàng)目方案需要調(diào)整。交通燈控制器軟硬件設(shè)計(jì)思路基本正確,但有一定缺陷。3操作實(shí)施(30%)教師評(píng)價(jià)+自評(píng)能正確使用Quartus II軟件綜合、編譯、仿真并下載程序到學(xué)習(xí)板,能正確調(diào)試電路,達(dá)到項(xiàng)目要求。能正確使用QuartusII軟件綜合、編譯、仿真并下載程序到學(xué)習(xí)板,能正確調(diào)試電路,基本完成項(xiàng)目要求。能正確使用QuartusII軟件綜合、編譯、仿真并下載程序到學(xué)習(xí)板,會(huì)調(diào)試電路。4項(xiàng)目總結(jié)報(bào)告(10%)教師評(píng)價(jià)格式符合標(biāo)準(zhǔn)、內(nèi)容完整、有詳細(xì)過(guò)程記錄和分析,并能提出一些新的建議。格式符合標(biāo)準(zhǔn)、內(nèi)容完整、有一定過(guò)程記錄和分析。格式符合標(biāo)準(zhǔn)、內(nèi)容較完整。5職業(yè)素養(yǎng)(25%)教師評(píng)價(jià)+自評(píng)+互評(píng)安全、文明工作,具有良好的職業(yè)操守,學(xué)習(xí)積極性高,遵守紀(jì)律,虛心好學(xué),具有良好的團(tuán)隊(duì)合作精神,熱心幫助同學(xué),能用專業(yè)語(yǔ)言準(zhǔn)確、流利地進(jìn)行交流安全文明工作,職業(yè)操守較好,學(xué)習(xí)積極性較高,具有良好的團(tuán)隊(duì)合作精神,熱心幫助同學(xué),能用專業(yè)語(yǔ)言準(zhǔn)確地進(jìn)行交流。沒(méi)出現(xiàn)違紀(jì)違規(guī)現(xiàn)象,沒(méi)有厭學(xué)現(xiàn)象,能按照規(guī)定完成所分配的項(xiàng)目。表達(dá)基本清楚、準(zhǔn)確。五、項(xiàng)目評(píng)價(jià)與總結(jié)提高五、項(xiàng)目評(píng)價(jià)與總結(jié)提高n 有限狀態(tài)機(jī)是處理復(fù)雜時(shí)序邏輯電路的常用手段,通常可以分為米勒型和摩爾型狀態(tài)機(jī)。n 雙向交通燈控制系統(tǒng)通常包括了4個(gè)狀態(tài),通過(guò)時(shí)序來(lái)控制狀態(tài)的轉(zhuǎn)換,輸出包括三色交通燈和倒計(jì)時(shí)信號(hào)。參考米勒型狀態(tài)機(jī)的VHDL實(shí)習(xí),采用VHDL實(shí)現(xiàn)3個(gè)狀態(tài)(S0、S1、S2)摩爾型狀態(tài)機(jī)的編寫(xiě),當(dāng)時(shí)鐘信號(hào)上升沿到來(lái)時(shí)狀態(tài)變換,要求在狀態(tài)S2轉(zhuǎn)化為S0時(shí)輸出為1,其他情況輸出為0。嘗試設(shè)計(jì)帶有左拐、右拐和直行指示燈的交通燈控制系統(tǒng),思考該控制系統(tǒng)包括幾個(gè)狀態(tài),各方向間的紅綠燈時(shí)間應(yīng)如何協(xié)調(diào)設(shè)置。

注意事項(xiàng)

本文(ED技術(shù)應(yīng)用的項(xiàng)目七 交通燈控制器)為本站會(huì)員(仙***)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!