九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

秒表 數(shù)字電子技術(shù)課程設(shè)計(jì)

  • 資源ID:59468077       資源大?。?span id="24d9guoke414" class="font-tahoma">549KB        全文頁(yè)數(shù):30頁(yè)
  • 資源格式: DOC        下載積分:6積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要6積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

秒表 數(shù)字電子技術(shù)課程設(shè)計(jì)

沈 陽(yáng) 工 程 學(xué) 院課 程 設(shè) 計(jì) 設(shè)計(jì)題目: 秒表 系 別 電力學(xué)院 班級(jí) 學(xué)生姓名 學(xué)號(hào) 指導(dǎo)教師 張玉梅 職稱 講師 起止日期: 2014年 7月7日起至2014年7月11日止沈陽(yáng)工程學(xué)院課程設(shè)計(jì)任務(wù)書(shū)課程設(shè)計(jì)題目: 秒表 系 別 電力學(xué)院 班級(jí) 學(xué)生姓名 學(xué)號(hào) 指導(dǎo)教師 張玉梅 職稱 講師 課程設(shè)計(jì)進(jìn)行地點(diǎn): F座 任 務(wù) 下 達(dá) 時(shí) 間: 2014年 7 月 02日起止日期:2014 年 7月7日起至 2014年7月11日止教研室主任 曲延華 2014年 5月25日批準(zhǔn) 秒表 1設(shè)計(jì)主要內(nèi)容及要求1.1設(shè)計(jì)目的 (1)掌握秒表的構(gòu)成、原理與設(shè)計(jì)方法;(2)熟悉集成電路的使用方法。1.2基本要求 (1)能進(jìn)行秒、0.1秒、0.01秒計(jì)時(shí); (2)有獨(dú)立的時(shí)間顯示電路。1.3發(fā)揮部分 (1)預(yù)置定時(shí)報(bào)警(例如1分鐘跑等項(xiàng)目) (2)顯示完畢后清零并發(fā)出響聲。2設(shè)計(jì)過(guò)程的基本要求:2.1基本部分必須完成,發(fā)揮部分可以在已給的或自己尋找的資料范圍內(nèi)任選1-2 個(gè)方向:2.2符合設(shè)計(jì)要求的報(bào)告一份,其中包括邏輯電路仿真運(yùn)行圖;2.3設(shè)計(jì)過(guò)程的資料、草稿要求保留并隨設(shè)計(jì)報(bào)告一起上交;3報(bào)告的基本要求:3.1報(bào)告打印(不少于3000字)、電子版刻盤(pán)上交。3.2裝訂順序:封面、任務(wù)書(shū)、成績(jī)?cè)u(píng)定表、中文摘要、關(guān)鍵詞、目錄、正文(正文的具體要求按老師講課要求)、致謝、參考文獻(xiàn)、附錄(邏輯原理圖)。4.時(shí)間進(jìn)度安排:順序階段日期計(jì) 劃 完 成 內(nèi) 容備注1 2013.12.16內(nèi)容講解,繪制流程圖打分22013.12.17原理圖繪制打分32013.12.18軟件介紹打分42013.12.19虛擬電路繪制及仿真打分52013.12.20報(bào)告書(shū)寫(xiě)及上交打分 2014-7-2沈 陽(yáng) 工 程 學(xué) 院 數(shù)字電子技術(shù) 課程設(shè)計(jì)成績(jī)?cè)u(píng)定表系(部): 電力學(xué)院 班級(jí): 學(xué)生姓名: 指 導(dǎo) 教 師 評(píng) 審 意 見(jiàn)評(píng)價(jià)內(nèi)容具 體 要 求權(quán)重評(píng) 分加權(quán)分調(diào)研論證能獨(dú)立查閱文獻(xiàn),收集資料;能制定課程設(shè)計(jì)方案和日程安排。0.15432工作能力態(tài)度工作態(tài)度認(rèn)真,遵守紀(jì)律,出勤情況是否良好,能夠獨(dú)立完成設(shè)計(jì)工作, 0.25432工作量按期圓滿完成規(guī)定的設(shè)計(jì)任務(wù),工作量飽滿,難度適宜。0.25432說(shuō)明書(shū)的質(zhì)量說(shuō)明書(shū)立論正確,論述充分,結(jié)論嚴(yán)謹(jǐn)合理,文字通順,技術(shù)用語(yǔ)準(zhǔn)確,符號(hào)統(tǒng)一,編號(hào)齊全,圖表完備,書(shū)寫(xiě)工整規(guī)范。0.55432指導(dǎo)教師評(píng)審成績(jī)(加權(quán)分合計(jì)乘以12) 分加權(quán)分合計(jì)指 導(dǎo) 教 師 簽 名: 年 月 日評(píng) 閱 教 師 評(píng) 審 意 見(jiàn)評(píng)價(jià)內(nèi)容具 體 要 求權(quán)重評(píng) 分加權(quán)分查閱文獻(xiàn)查閱文獻(xiàn)有一定廣泛性;有綜合歸納資料的能力0.25432工作量工作量飽滿,難度適中。0.55432說(shuō)明書(shū)的質(zhì)量說(shuō)明書(shū)立論正確,論述充分,結(jié)論嚴(yán)謹(jǐn)合理,文字通順,技術(shù)用語(yǔ)準(zhǔn)確,符號(hào)統(tǒng)一,編號(hào)齊全,圖表完備,書(shū)寫(xiě)工整規(guī)范。0.35432評(píng)閱教師評(píng)審成績(jī)(加權(quán)分合計(jì)乘以8)分加權(quán)分合計(jì)評(píng) 閱 教 師 簽 名: 年 月 日課 程 設(shè) 計(jì) 總 評(píng) 成 績(jī)分中 文 摘 要本小組設(shè)計(jì)的是多組記憶秒表電路。數(shù)字式秒表是電子設(shè)計(jì)技術(shù)中最基本的設(shè)計(jì)實(shí)驗(yàn)之一。電子秒表在生活中的應(yīng)用,它可廣泛應(yīng)用于對(duì)運(yùn)動(dòng)物體的速度、加速度的測(cè)量實(shí)驗(yàn),還可用來(lái)驗(yàn)證牛頓第二定律、機(jī)械能守恒等物理實(shí)驗(yàn),同時(shí)也適用于對(duì)時(shí)間測(cè)量精度要求較高的場(chǎng)合.測(cè)定短時(shí)間間隔的儀表。有機(jī)械秒表和電子秒表兩類(lèi)。機(jī)械秒表與機(jī)械手表相仿,但具有制動(dòng)裝置,可精確至百分之一秒;電子秒表用微型電池作能源,電子元件測(cè)量顯示,可精確至千分之一秒。廣泛應(yīng)用于科學(xué)研究、體育運(yùn)動(dòng)及國(guó)防等方面在當(dāng)今非常注重工作效率的社會(huì)環(huán)境中,定時(shí)器能給我們的工作、生活以及娛樂(lè)帶來(lái)很大的方便,充分利用定時(shí)器,能有效的加強(qiáng)我們的工作效率。秒表重要的性能指標(biāo)好與壞,應(yīng)該是準(zhǔn)確地測(cè)定時(shí)間,因此產(chǎn)生精確的脈沖是本設(shè)計(jì)中的重中之重。555多諧振蕩器,是一種性能較好的時(shí)鐘源。所以用它來(lái)輸出1000HZ的頻率,然后通過(guò)分頻來(lái)獲得100HZ的矩形波信號(hào)。另外,由集成與非門(mén)構(gòu)成的RS觸發(fā)器用來(lái)贏動(dòng)和停止秒表的工作,由與非門(mén)構(gòu)成的微分單穩(wěn)態(tài)觸發(fā)器的職能是為計(jì)數(shù)器提供清零信號(hào)。四個(gè)十進(jìn)制和二個(gè)六進(jìn)制的計(jì)數(shù)器構(gòu)成秒表的計(jì)數(shù)單元,而后,運(yùn)用鎖存器實(shí)現(xiàn)對(duì)數(shù)據(jù)的記憶存儲(chǔ)功能,最后,通過(guò)LED七段顯示器來(lái)顯示出要記錄的數(shù)據(jù)。關(guān)鍵詞 牛頓第二定律,機(jī)械能守恒,555多諧振蕩器,計(jì)數(shù)器(關(guān)鍵詞3-5個(gè))目 錄1 設(shè)計(jì)任務(wù)描述11.1 設(shè)計(jì)題目:秒表11.2 設(shè)計(jì)要求11.2.1 設(shè)計(jì)目的11.2.2 基本要求11.2.3 發(fā)揮部分12 設(shè)計(jì)思路22.1 設(shè)計(jì)功能與應(yīng)用22.2秒表設(shè)計(jì)23 設(shè)計(jì)方框圖34 各部分電路設(shè)計(jì)及參數(shù)計(jì)算44.1 RS觸發(fā)器44.2單穩(wěn)態(tài)觸發(fā)器電路44.3計(jì)數(shù)器54.4 555多諧震蕩器電路64.5 555多諧震蕩器構(gòu)成的10分頻電路75 工作過(guò)程分析96 元器件清單107 主要元器件介紹117.1脈沖源電路117.2時(shí)間計(jì)數(shù)127.3鎖存器137.4七段顯示譯碼器7448137.5 數(shù)據(jù)分配器74138157.6 LED顯示器(七段數(shù)碼管)16小 結(jié)18致 謝19參考文獻(xiàn)20附 錄 A1 邏輯接線圖21附 錄 A2 印刷電路板圖221 設(shè)計(jì)任務(wù)描述1.1 設(shè)計(jì)題目:秒表1.2 設(shè)計(jì)要求1.2.1 設(shè)計(jì)目的(1) 掌握秒表的構(gòu)成、原理與設(shè)計(jì)方法;(2) 熟悉集成電路的使用方法。1.2.2 基本要求(1) 能進(jìn)行分、秒、0.1秒、0.01秒計(jì)時(shí),有獨(dú)立的時(shí)間顯示電路;(2) 顯示完畢后清零并發(fā)出響聲。1.2.3 發(fā)揮部分 2 設(shè)計(jì)思路2.1 設(shè)計(jì)功能與應(yīng)用記憶秒表是體育運(yùn)動(dòng)中常用的計(jì)時(shí)儀器,它通過(guò)按鍵來(lái)控制計(jì)時(shí)的起點(diǎn)和終點(diǎn)。測(cè)定運(yùn)動(dòng)項(xiàng)目時(shí)需要用秒表,秒表與普通鐘表(包括手表)不同,它的目的是對(duì)某一時(shí)刻到另一時(shí)刻的時(shí)間間隔進(jìn)行計(jì)時(shí)。這種秒表能進(jìn)行分,秒和毫秒的計(jì)時(shí)有獨(dú)立的時(shí)間顯示,并且能對(duì)數(shù)據(jù)進(jìn)行記憶存儲(chǔ)。然后在記憶存儲(chǔ)的基礎(chǔ)上,能夠?qū)崿F(xiàn)在需要的情況下,顯示最短時(shí)間。即運(yùn)動(dòng)員的最好成績(jī)2.2秒表設(shè)計(jì)秒表的最基本的組成部分就是顯示,譯碼,計(jì)數(shù)組成,但是需要設(shè)計(jì)的是可以記錄多組數(shù)據(jù)的記憶秒表,就需要寄存器對(duì)數(shù)據(jù)存儲(chǔ)。1 設(shè)計(jì)開(kāi)關(guān),可以啟動(dòng)及清零。其中需要接入脈沖整形電路,為整個(gè)電路提供清零信號(hào)。2 設(shè)計(jì)脈沖源,555多諧振蕩電路產(chǎn)生脈沖,作為計(jì)數(shù)。由于555直接輸出100HZ不不穩(wěn)定,就需要將555輸出的HZ調(diào)高,經(jīng)過(guò)分頻再進(jìn)行輸出100HZ。3 設(shè)計(jì)0.01秒到分的計(jì)數(shù)器電路。將計(jì)數(shù)器接成6進(jìn)制,10進(jìn)制的不同進(jìn)制,進(jìn)行計(jì)數(shù),才會(huì)實(shí)現(xiàn)秒,分。4 設(shè)計(jì)可以存儲(chǔ)多組數(shù)據(jù)的寄存器或者瑣存器。將每一組數(shù)據(jù)寸入不同的鎖存器,實(shí)現(xiàn)對(duì)數(shù)據(jù)的寄存。5 設(shè)計(jì)譯碼器,向LED顯示起輸出。6 設(shè)計(jì)開(kāi)關(guān),可是實(shí)現(xiàn)對(duì)數(shù)據(jù)的存儲(chǔ)和顯示。通過(guò)開(kāi)關(guān)將數(shù)據(jù)存入瑣存器中,當(dāng)記錄完數(shù)據(jù)后,還可以通過(guò)開(kāi)關(guān)將數(shù)據(jù)調(diào)動(dòng)出來(lái),在顯示器顯示。 3 設(shè)計(jì)方框圖LED顯示器譯碼器瑣存器555振蕩器控制門(mén)4 各部分電路設(shè)計(jì)及參數(shù)計(jì)算4.1 RS觸發(fā)器(1)RS觸發(fā)器 圖4.1.1 RS觸發(fā)器 表4.1.2 RS觸發(fā)器功能表(2)工作原理: 用集成與非門(mén)構(gòu)成的基本RS觸發(fā)器,屬低電平有效的觸發(fā)器,有直接置位、復(fù)位的功能,其中R稱為置0端,S稱為置1端,它的一路輸出作為單穩(wěn)態(tài)觸發(fā)器的輸入,另一路輸出Q作為與非門(mén)的輸入控制信號(hào),按動(dòng)按鈕開(kāi)關(guān),則S2復(fù)位,再按開(kāi)關(guān)S1,則Q端由0變1,下面的與非門(mén)開(kāi)啟,為計(jì)數(shù)啟動(dòng)作好準(zhǔn)備。用集成與非門(mén)構(gòu)成的RS觸發(fā)器有直接置位,復(fù)位的功能,作為啟動(dòng)和停止秒表工作的電路。42單穩(wěn)態(tài)觸發(fā)器電路(1)電路 波形 圖4.2.1單穩(wěn)態(tài)觸發(fā)器電路 圖4.2.2單穩(wěn)態(tài)觸發(fā)器波形(2)原理介紹將啟動(dòng)和停止電路輸出的不規(guī)則脈沖整形為具有一定幅度和一定寬度的脈沖,為計(jì)數(shù)器提供清零信號(hào)。啟動(dòng)和停止電路單元的按鈕按下, 則此電路輸出一個(gè)持續(xù)時(shí)間一定的有效信號(hào)( 負(fù)脈沖 )。在此期間, 即使按鈕有幾個(gè)連續(xù)的負(fù)脈沖 , 但電路輸出仍保持低電平 , 從而將按鈕的抖動(dòng)屏蔽掉。4.3計(jì)數(shù)器(1)計(jì)數(shù)0.01秒脈沖的計(jì)數(shù)器圖4.3.1 計(jì)數(shù)0.01秒脈沖(2)計(jì)數(shù)分和秒的計(jì)數(shù)單元圖4.3.2 計(jì)數(shù)分秒(2)工作原理:0.01計(jì)數(shù)器是十進(jìn)制的計(jì)數(shù)器,從第1片的輸出作為第二片的輸入,當(dāng)?shù)谝黄?jì)為1001時(shí),CP變?yōu)?,下一 個(gè)CP信號(hào)到達(dá)時(shí),第二片計(jì)數(shù)工作狀態(tài)計(jì)為1,而第一片計(jì)為0,它的CP計(jì)為低電平,第1片的工作狀態(tài)控制端恒為0,使計(jì)數(shù)器始終處于工作狀態(tài)。 秒的計(jì)數(shù)器是60進(jìn)制的計(jì)數(shù)器,它的個(gè)為是十進(jìn)制計(jì)數(shù)器,十位是六進(jìn)制計(jì)數(shù)器。表4.3.3 74LS290功能表4.4 555多諧震蕩器電路(1)555多諧震蕩器 555多諧振蕩器是一種時(shí)鐘源,它沒(méi)有穩(wěn)定狀態(tài),同時(shí)不需要外加觸發(fā)器。多諧振蕩器輸出波形由于555內(nèi)部的比較器靈敏度較高,而且采用差分電路形式,它的振蕩頻率受電源電壓和溫度變化的影響很小 圖4.41 555多諧震蕩器電路 圖4.42 555工作波形(2)工作原理 接通電源后,電容被C充電,Vc上升,當(dāng)Vc上升到2/3Vcc時(shí),觸發(fā)器被復(fù)位,同時(shí)放電BJT導(dǎo)通,此時(shí)Vo為低電平,電容C通過(guò)R2和T放電,使Vc下降。當(dāng)Vc下降到1/3Vcc時(shí),觸發(fā)器又被置位,Vo翻轉(zhuǎn)為高電平。電容器放電需要一定的時(shí)間,放電時(shí)間為,如(4.4.2)所示tPL=R2Cln20.7R2C (4.4.2) 當(dāng)電容放電結(jié)束時(shí),T截止,Vcc將通過(guò)R1,R2向電容器C充電,Vc由1/3Vcc上升到2/3Vcc,所需的時(shí)間為,如(4.4.3)所示 tHP=(R1+R2)Cln20.7(R1+R2)C (4.4.3) 當(dāng)Vc上升到2/3Vcc時(shí),觸發(fā)器又發(fā)生翻轉(zhuǎn),如此周而復(fù)始,在輸出端就得到一個(gè)周期性的方波,其頻率為,如(4.4.4)所示f=1/(tPL+tPH)1.43/(R1+2R2)C (4.4.4) 555內(nèi)部的比較器靈敏度較高,而且采用差分電路形式,它的振蕩頻率受電源電壓和溫度的變化的影響很小。 4.5 555多諧震蕩器構(gòu)成的10分頻電路 4.5.1 555構(gòu)成的10分頻電路 (2)原理介紹 通常555振蕩器輸出的頻率都會(huì)很高,電路中555輸出的頻率為1000HZ,但是計(jì)數(shù)器需要的是的100HZ的信號(hào)輸入,這就需要對(duì)555振蕩器的輸出信號(hào)進(jìn)行分頻。就須設(shè)計(jì)一個(gè)10進(jìn)制的計(jì)數(shù)器,對(duì)頻率1000HZ的的脈沖進(jìn)行10分頻,在輸出端得到周期為0.01秒的脈沖,作為時(shí)間的計(jì)數(shù)單元的輸入,這用74LS290就可以實(shí)現(xiàn)計(jì)數(shù)。5 工作過(guò)程分析本設(shè)計(jì)的記憶秒表按功能來(lái)分,主要能分成部分。基本RS觸發(fā)器和單穩(wěn)態(tài)觸發(fā)器都是由集成與非門(mén)構(gòu)成的,他們分別用來(lái)制動(dòng)和停止秒表的工作,提供清零信號(hào)。時(shí)鐘發(fā)生器視用555定時(shí)器構(gòu)成的多諧振蕩器,它為計(jì)數(shù)器產(chǎn)生100HZ的脈沖信號(hào),存儲(chǔ)器用來(lái)暫存秒表記錄的數(shù)據(jù),計(jì)數(shù)及譯碼顯示中,74LS290構(gòu)成表的計(jì)數(shù)單元。然后將結(jié)果通過(guò)共陽(yáng)極七段譯碼器顯示器顯示出來(lái)。 (1)首先,用基本RS觸發(fā)器的按紐開(kāi)關(guān)啟動(dòng)秒表。通過(guò)控制R.S與非門(mén)觸發(fā)器來(lái)控制多組記憶秒表的啟動(dòng)和停止。當(dāng)R,S觸發(fā)器接地 時(shí),使Q=1,Q=O。但穩(wěn)態(tài)觸發(fā)器有一個(gè)穩(wěn)態(tài)和暫穩(wěn)態(tài),無(wú)外加觸發(fā)信號(hào)時(shí),電路處于穩(wěn)態(tài),在外加觸發(fā)脈沖作用下,它由穩(wěn)態(tài)進(jìn)入暫穩(wěn)態(tài),暫穩(wěn)態(tài)維持一段時(shí)間后,電路又自動(dòng)返回到穩(wěn)態(tài)。(2)通過(guò)555振蕩器進(jìn)行脈沖分頻。 輸出100HZ脈沖作為時(shí)鐘計(jì)數(shù)信號(hào);555多諧振蕩器發(fā)出1000HZ的信號(hào),經(jīng)過(guò)十進(jìn)制計(jì)數(shù)器74290分頻輸出100HZ的脈沖信號(hào)。當(dāng)Q=1,555振蕩器發(fā)出信號(hào)時(shí),信號(hào)經(jīng)過(guò)與非門(mén)接到74290計(jì)數(shù)器的低位CP0脈沖輸入端,是秒表啟動(dòng)。(3)信號(hào)通過(guò)計(jì)數(shù)器74290進(jìn)行計(jì)數(shù)。信號(hào)經(jīng)過(guò)74290計(jì)數(shù)器,即計(jì)數(shù)器最大能計(jì)60分。計(jì)數(shù)器輸出信號(hào)接到74F543。74F543對(duì)測(cè)的數(shù)據(jù)進(jìn)行保存。按動(dòng)開(kāi)關(guān)至高電平端。當(dāng)CP=1時(shí),數(shù)據(jù)就被存入各觸發(fā)器中,當(dāng)CP=0時(shí),數(shù)據(jù)被鎖存在各觸發(fā)器中。要是被鎖存數(shù)據(jù)輸出,可按動(dòng)開(kāi)關(guān)對(duì)其進(jìn)行控制。(4)秒表停止工作與清零。當(dāng)RS觸發(fā)器S接地,即Q=0、Q=1 當(dāng)Q=0時(shí)與非門(mén)清零,555振蕩器發(fā)出信號(hào),計(jì)數(shù)器74290計(jì)數(shù)。Q=0時(shí)Q=1接到計(jì)數(shù)器的清零端,使顯示器清零6 元器件清單序 號(hào)器 件 名 稱型 號(hào)數(shù) 量單 位1顯 示 器6片2譯 碼 器74486片3數(shù)據(jù)選擇器741382片4存 儲(chǔ) 器74F5439片5計(jì)數(shù)器74LS2909片6多諧振蕩器5551片7電 阻若干個(gè)8電 容若干個(gè)9控 制 開(kāi) 關(guān)若干個(gè)7 主要元器件介紹7.1脈沖源電路 (1)555多諧震蕩器 圖7.1.1 555多諧震蕩器電路 圖7.1.2 555工作波形 圖7.1.3 555引腳圖(2)工作原理 接通電源后,電容被C充電,Vc上升,當(dāng)Vc上升到2/3Vcc時(shí),觸發(fā)器被復(fù)位,同時(shí)放電BJT導(dǎo)通,此時(shí)Vo為低電平,電容C通過(guò)R2和T放電,使Vc下降。當(dāng)Vc下降到1/3Vcc時(shí),觸發(fā)器又被置位,Vo翻轉(zhuǎn)為高電平。電容器放電需要一定的時(shí)間,當(dāng)電容放電結(jié)束時(shí),T截止,Vcc將通過(guò)R1,R2向電容器C充電,Vc由1/3Vcc上升到2/3Vcc,當(dāng)Vc上升到2/3Vcc時(shí),觸發(fā)器又發(fā)生翻轉(zhuǎn),如此周而復(fù)始,在輸出端就得到一個(gè)周期性的方波.其頻率公式為f=1.43/(R1+2R2)C7.2時(shí)間計(jì)數(shù) (1)74LS290構(gòu)成的6、10進(jìn)制電路 圖7.2.1 構(gòu)成10進(jìn)制計(jì)數(shù)器 圖7.2.2 構(gòu)成2進(jìn)制計(jì)數(shù)器圖7.2.3 74LS290管腳圖 (2)74LS290原理表7.1.3 74LS290功能表它由1個(gè)1位二進(jìn)制計(jì)數(shù)器和1個(gè)異步五進(jìn)制計(jì)數(shù)器組成。如果計(jì)數(shù)脈沖由CPA端輸入,輸出由QA端引出,即得二進(jìn)制計(jì)數(shù)器;如果計(jì)數(shù)脈沖由CPB端輸入,輸出由QBQD引出,即是五進(jìn)制計(jì)數(shù)器;如果將QA與CPB相連,計(jì)數(shù)脈沖由CPA輸入,輸出由QAQD引出,即得8421碼十進(jìn)制計(jì)數(shù)器。因此,它又稱為二-五-十進(jìn)制計(jì)數(shù)器。7.3 鎖存器 7.3.1 8接收發(fā)送雙向鎖存器 7.3.2 引角圖 7.3.3 表74F543功能表7.4七段顯示譯碼器7448(1)譯碼器7448 圖 7.4.1 7448譯碼器 圖7.4.2 7448引角圖(2)原理介紹 表7.4.3 7448功能表 7448是七段顯示譯碼器,它是分段數(shù)碼管利用不同發(fā)光段組合的方式顯示不同數(shù)碼的。為了使數(shù)碼管能夠?qū)?shù)碼所代表的數(shù)顯示出來(lái),必須將數(shù)碼經(jīng)譯碼器譯出,然后經(jīng)過(guò)驅(qū)動(dòng)器點(diǎn)亮所對(duì)應(yīng)的段。7448輸出高電平有效,用以驅(qū)動(dòng)共陰極顯示器。該集成顯示器設(shè)有多個(gè)輔助控制端,以增強(qiáng)器件的功能。它有3個(gè)輔助控制端LT、RBI、BI/RBO,現(xiàn)分別簡(jiǎn)要說(shuō)明如下: 滅燈輸入BI/RBOBI/RBO是特殊控制端,有時(shí)作為輸入,有時(shí)作為輸出。當(dāng)BI/RBO作輸入使用且BI=0時(shí),無(wú)論其他輸入端是什么電平,所有各段輸出ag均為0,所以字形熄滅。 試燈輸入LT當(dāng)LT=0時(shí),BI/RBO是輸出端,且RBO=1,此時(shí)無(wú)論其他輸入端是什么狀態(tài),所有各段輸出ag均為1,顯示字形。該輸入端常用于檢查7448本身及顯示器的好壞。 動(dòng)態(tài)滅零輸入RBI當(dāng)LT=1,RBI=0且輸入代碼DCBA=0000時(shí)各段輸出ag均為低電平,與BCD碼相應(yīng)的字形熄滅,故稱“滅零”。利用LT=1與RBI=0可以實(shí)現(xiàn)某一位0的“消隱”。此時(shí)BI/RBO是輸出端,且RBO=0。 動(dòng)態(tài)滅零輸入RBOBI/RBO作為輸出使用時(shí)受控于LT和RBI。當(dāng)LT=1且RBI=0,輸出代碼DCBA=0000時(shí),RBO=0;若LT=1且RBI=1,則RBO=1。該端主要用于顯示多位數(shù)字時(shí),多個(gè)譯碼之間的連接。 由功能表還可以看出,對(duì)輸入代碼0000,譯碼條件是:LT和RBI同時(shí)等于1,而對(duì)其他輸入代碼則僅要求LT=1,這時(shí)候,譯碼器各段ag輸出的電平是由輸入BCD碼決定的,并且滿足顯示字形的要求。7.5 數(shù)據(jù)分配器74138(1)74138數(shù)據(jù)分配器 圖7.5.1 74138數(shù)據(jù)分配器 圖7.5.2 74138引角圖(2)功能介紹 表7.5.3 74138功能表數(shù)據(jù)分配器的作用相當(dāng)于多個(gè)輸出的多擲開(kāi)關(guān),其示意圖如7.5.4。這樣我通過(guò)一個(gè)開(kāi)關(guān),外加一個(gè)分頻器,數(shù)據(jù)分配器,構(gòu)成一個(gè)電路,就可以實(shí)現(xiàn)一個(gè)開(kāi)關(guān)對(duì)多組數(shù)據(jù)的存儲(chǔ)及調(diào)動(dòng)。 圖7.5.4 數(shù)據(jù)分配器示意圖7.6 LED顯示器(七段數(shù)碼管)(1)LED顯示器 圖6.9.1 LED顯示器(2)功能介紹表7.9.2 7段LED顯示器字符段碼LED顯示器在許多的數(shù)字系統(tǒng)中作為顯示輸出設(shè)備,使用非常廣泛。它的結(jié)構(gòu)是由發(fā)光二極管構(gòu)成如圖所示的a、b、c、d、e、f和g七段,并由此得名,實(shí)際上每個(gè)LED還有一個(gè)發(fā)光段,一般用于表示小數(shù)點(diǎn),所以也有少數(shù)的資料將LED稱為八碼管。LED內(nèi)部的所有發(fā)光二極管有共陰極接法和共陽(yáng)極接法兩種,即將LED內(nèi)部所有二極管陰極或陽(yáng)極接在一起并通過(guò)com引腳引出,并將每一發(fā)光段的另一端分別引出到對(duì)應(yīng)的引腳,LED的引腳排列一般如圖所示,使用時(shí)以具體型號(hào)的LED資料為依據(jù)。通過(guò)點(diǎn)亮不同的LED字段,可顯示數(shù)字0,1,,9和A,b,C,d,E,F(xiàn)等不同的字符及自定義一些段發(fā)光代表簡(jiǎn)單符號(hào)。com端接5V電壓,其它引腳端通過(guò)限流電阻接到鎖存器74ACT11373的輸出,當(dāng)各段輸入端為邏輯“1”,對(duì)應(yīng)的LED不亮;各段輸入端為邏輯“0”時(shí),對(duì)應(yīng)LED才發(fā)亮。使用時(shí)要根據(jù)LED正常發(fā)光需要的電流參數(shù)估算限流電阻取值。電阻取值越小,電流大,LED會(huì)更亮,但要注意長(zhǎng)時(shí)間過(guò)熱使用燒壞LED。LED多數(shù)情況用于顯示十進(jìn)制數(shù)字,要將09的數(shù)字用7段顯示,必須將數(shù)字轉(zhuǎn)換為L(zhǎng)ED對(duì)應(yīng)七段碼的信息,比如,要顯示“0”,就是讓a、b、c、d、e和f段發(fā)光,顯示“1”,讓b和c段發(fā)光,等等如表3.2.1所示。然后根據(jù)LED是共陰極還是共陽(yáng)極接法確定LED各輸入端應(yīng)接邏輯1還是邏輯0,如果是共陽(yáng)接法,要顯示“0” 時(shí),a、b、c、d、e和f段就要輸入邏輯0,共陰極接法則恰巧相反。也就是說(shuō),對(duì)于共陰極和共陽(yáng)極兩種不同的接法,顯示同一個(gè)字符時(shí),對(duì)應(yīng)的顯示段碼是不同的,互為反碼。下表列出了這兩種接法下的字形段碼關(guān)系表。表中的段碼數(shù)字是以LED的8段與二進(jìn)制字節(jié)數(shù)以下列對(duì)應(yīng)關(guān)系為前提得到的:比如為了顯示“0”,對(duì)應(yīng)共陰極應(yīng)該使D7D6D5D4D3D2D1D0 = 00111111B,即3FH;對(duì)共陽(yáng)極應(yīng)該使D7D6D5D4D3D2D1D0 = 11000000B,即C0H。如表所示,從表中可以看出,對(duì)于同一個(gè)顯示字符,共陰極和共陽(yáng)極的七段碼互為反碼。小 結(jié)時(shí)間過(guò)得真快,轉(zhuǎn)眼間為期一個(gè)星期的電子課程設(shè)計(jì)就要結(jié)束了。在這短短的一周時(shí)間里,我卻收獲很多東西,同時(shí)也深刻的體會(huì)到了自己知識(shí)的匱乏。在掌握課本知識(shí)的基礎(chǔ)上,通過(guò)這次學(xué)習(xí),充分的鍛煉了自己的動(dòng)手能力,讓我懂得了理論與實(shí)踐相結(jié)合的重要性。電子課程設(shè)計(jì)從一開(kāi)始我就感覺(jué)到了難度,所以認(rèn)真的對(duì)待了這次課程設(shè)計(jì),一直沒(méi)有松懈,還好的就是順利的完成老師交代的任務(wù),但是還是感覺(jué)到了一些壓力,時(shí)間對(duì)于我來(lái)說(shuō),有些緊張,在這一周內(nèi)完成這一系列的任務(wù),真的感覺(jué)到了壓力。對(duì)秒表這個(gè)任務(wù),多少還能從實(shí)際的秒表得到一些啟發(fā),所以基本思路很快就定下了。并且在圖書(shū)館里我還找到了一些關(guān)于秒表,以及時(shí)鐘的一些介紹,這對(duì)于我再畫(huà)秒表這個(gè)電路圖,還是有很大的幫助。但是真正到畫(huà)草圖,選擇適當(dāng)?shù)脑骷r(shí),還是感到了些許的難度,當(dāng)我看到無(wú)數(shù)的器件,想找一個(gè)最適當(dāng)?shù)?,很難,但是在向老師的請(qǐng)教,同學(xué)的探討中,確定了一些合適的器件,但是到電路中運(yùn)用的時(shí)候還會(huì)發(fā)現(xiàn)在這些器件中還會(huì)有不會(huì)合適的,但是都由于在對(duì)電路越來(lái)越深的了解,功能的熟悉中,逐漸的換掉了。在老師的耐心指導(dǎo)下,同學(xué)的熱心幫助下,我終于順利地完成了任務(wù)。盡管如此,但是我仍覺(jué)得在本次設(shè)計(jì)中還存在著許多不足的地方。我在以后學(xué)習(xí)中應(yīng)該改進(jìn)。通過(guò)這次對(duì)數(shù)字式秒表的設(shè)計(jì)與制作,讓我了解了設(shè)計(jì)電路的程序,也讓我了解了關(guān)于數(shù)字秒表的原理與設(shè)計(jì)理念。在此次的數(shù)字秒表設(shè)計(jì)過(guò)程中,我更進(jìn)一步地熟悉了芯片的結(jié)構(gòu)、管腳圖、功能表及掌握了各芯片的工作原理和其具體的使用方法。而且這些知識(shí)是對(duì)我們大學(xué)生來(lái)說(shuō)十分寶貴的實(shí)踐經(jīng)驗(yàn),是無(wú)法在課堂上獲得的,是現(xiàn)今社會(huì)最重視的同時(shí)也是我們最需要提高的部分。電子課程設(shè)計(jì)對(duì)學(xué)到的知識(shí)很好的加以聯(lián)系,一些看上象是很獨(dú)立的知識(shí)點(diǎn)都會(huì)在設(shè)計(jì)電路中出現(xiàn),并且要和其他的器件加以聯(lián)系,使整個(gè)電路發(fā)揮到需要的作用,這就對(duì)整個(gè)電子的知識(shí)點(diǎn)都起到了重新的學(xué)習(xí),更深的了解,對(duì)學(xué)習(xí),理解電子課有很大的幫助。這次課程設(shè)計(jì),對(duì)我自己來(lái)說(shuō)是深有體會(huì),發(fā)現(xiàn)了自己許多的不足之處,知識(shí)的儲(chǔ)備還是不夠,導(dǎo)致自己有一些想法沒(méi)有在電路中體現(xiàn)出來(lái),這也是我這次課程設(shè)計(jì)的遺憾之一。在以后的學(xué)習(xí)中,我會(huì)更加努力的學(xué)習(xí)知識(shí),增加知識(shí)量,使自己在以后的實(shí)習(xí)、課程設(shè)計(jì)等等的動(dòng)手實(shí)踐中,更好、更出色的完成任務(wù)。致 謝電子課程設(shè)計(jì)結(jié)束了。在這次設(shè)計(jì)的過(guò)程中,我首先要感謝的就是我們的指導(dǎo)教師,因?yàn)樵谡麄€(gè)過(guò)程中如果沒(méi)有她的耐心指導(dǎo),我們不會(huì)那么順利地完成任務(wù)。為了幫我們修改圖稿和調(diào)試,從不吝嗇自己的時(shí)間,即使是耽誤了自己的休息時(shí)間也不在乎。對(duì)于同學(xué)提出的問(wèn)題,更是有問(wèn)必答,耐心講解。就算我們的提問(wèn)不夠睿智,她也從不介意,這些都讓我深深地感動(dòng)。對(duì)于老師,每天看到你一大早就來(lái)到教室答疑,對(duì)于每個(gè)學(xué)生提出的問(wèn)題都毫不厭煩地進(jìn)行解答,你不僅將書(shū)本的知識(shí)教給了我們,還將實(shí)際運(yùn)用中出現(xiàn)的問(wèn)題也一一地告訴了我們,讓我們發(fā)現(xiàn)這比從書(shū)本上學(xué)知識(shí)有意思得多,也讓我們對(duì)模電這門(mén)課程有了更濃厚的興趣。每天晚上,走得最晚的也是你,看著圍在身邊的同學(xué)一個(gè)個(gè)帶著滿意的答案離去時(shí),你的臉上浮現(xiàn)出從來(lái)沒(méi)有的笑容,教書(shū)育人,這是你們的一種生活態(tài)度,春蠶到死絲方盡,蠟炬成灰淚始干,這一句詩(shī)歌形容你們?cè)儋N切不過(guò)了,你們?nèi)紵俗约狐c(diǎn)亮了他人,在我們看來(lái),您就是世界上最可愛(ài)的人。另外,我要感謝我親愛(ài)的同學(xué)們,是他們一直陪在我身邊,和我并肩奮斗,使我有信心完成自己的工作。在課設(shè)的過(guò)程中,每個(gè)人都盡自己的最大努力去做好每一件事。當(dāng)時(shí)在分組設(shè)計(jì)時(shí),我們都想挑個(gè)簡(jiǎn)單的模塊做,早點(diǎn)做完早點(diǎn)完事,但是后來(lái)發(fā)現(xiàn)每個(gè)模塊都不是很簡(jiǎn)單的時(shí)候,我們才意識(shí)到團(tuán)結(jié)一致才是克服困難的最大動(dòng)力。當(dāng)遇到一個(gè)完全沒(méi)有頭緒的問(wèn)題時(shí),是你們幫我上網(wǎng)查找資料,網(wǎng)上沒(méi)有的還去圖書(shū)館里找專(zhuān)業(yè)書(shū)查信息,每當(dāng)?shù)玫侥銈兊膸椭鷷r(shí),我感覺(jué)到家其實(shí)離我并不遙遠(yuǎn),你們就是我最溫暖的家。通過(guò)這次課程設(shè)計(jì),拉近了我們同學(xué)們之間的距離,讓我們感受到了家的溫暖。最后,我要再次感謝老師和那些給予我?guī)椭耐瑢W(xué)們,是你們讓我順利完成了課程設(shè)計(jì),增長(zhǎng)了許多實(shí)踐的經(jīng)驗(yàn),更體驗(yàn)到了大家團(tuán)結(jié)互助的溫暖,將理論和實(shí)踐有機(jī)地結(jié)合在一起,在學(xué)到了知識(shí)的同時(shí)又團(tuán)結(jié)了同學(xué),通過(guò)這次模電課程設(shè)計(jì),讓我感受到了大學(xué)生活給我們帶來(lái)的樂(lè)趣,還要感謝一下學(xué)校給了我們這次課程設(shè)計(jì)的機(jī)會(huì),希望學(xué)校以后能多給我們幾次這樣鍛煉自己的機(jī)會(huì),這不僅僅時(shí)一次簡(jiǎn)單的實(shí)訓(xùn),更是將書(shū)本和實(shí)踐結(jié)合起來(lái)的一次好機(jī)會(huì),再一次對(duì)幫助過(guò)我的老師同學(xué)進(jìn)行感謝!參考文獻(xiàn)1 陳梓城等,實(shí)用電子電路,北京:中國(guó)電力出版社,20062 梅開(kāi)鄉(xiāng).數(shù)字邏輯電路學(xué)習(xí)與實(shí)訓(xùn)指導(dǎo).北京:電子工業(yè)出版社,20043 湯山俊夫.數(shù)字電路設(shè)計(jì)與制作.北京:科學(xué)出版社,19844 卓鄭安.電路與電子實(shí)驗(yàn)教程及計(jì)算機(jī)仿真.北京:北京機(jī)械出版社,20005 趙負(fù)國(guó).數(shù)字邏輯集成電路.北京:化學(xué)工業(yè)出版社,19996 江一鳴.數(shù)字電子技術(shù)實(shí)驗(yàn)指導(dǎo).蘇州:蘇州大學(xué)出版社,19967 www.21IC.com 附 錄 A1 邏輯接線圖附 錄 A2 印刷電路板圖

注意事項(xiàng)

本文(秒表 數(shù)字電子技術(shù)課程設(shè)計(jì))為本站會(huì)員(細(xì)水****9)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!