九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

課程設(shè)計(jì) 數(shù)字電子鐘

  • 資源ID:60086433       資源大小:604.29KB        全文頁數(shù):24頁
  • 資源格式: DOCX        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號:
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

課程設(shè)計(jì) 數(shù)字電子鐘

華 南 農(nóng) 業(yè) 大 學(xué)電子線路綜合設(shè)計(jì)數(shù)字電子鐘設(shè)計(jì)2010年6月摘 要在生活中的各種場合經(jīng)常要用到電子鐘,現(xiàn)代電子技術(shù)的飛躍發(fā)展,各類智能化產(chǎn)品相應(yīng)而出,數(shù)字電路具有電路簡單、可靠性高、成本低等優(yōu)點(diǎn),本設(shè)計(jì)就以數(shù)字電路為核心設(shè)計(jì)智能電子鐘。數(shù)字鐘是采用數(shù)字電路實(shí)現(xiàn)對時(shí),分,秒數(shù)字顯示的計(jì)時(shí)裝置,廣泛用于個人家庭,車站, 碼頭辦公室等公共場所,成為人們?nèi)粘I钪胁豢缮俚谋匦杵?由于數(shù)字集成電路的發(fā)展和石英晶體振蕩器的廣泛應(yīng)用,使得數(shù)字鐘的精度,運(yùn)運(yùn)超過老式鐘表, 鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。本設(shè)計(jì)電路由計(jì)時(shí)電路、動態(tài)顯示電路、控制電路、顯示電路等部分組成,在數(shù)碼管上顯示24小時(shí)計(jì)時(shí)的時(shí)刻,具有清零、保持、校時(shí)、報(bào)時(shí)的功能,并在此基礎(chǔ)上增加了星期顯示的功能。 數(shù)字鐘計(jì)時(shí)的標(biāo)準(zhǔn)信號應(yīng)該是頻率相當(dāng)穩(wěn)定的1HZ秒脈沖,所以要設(shè)置標(biāo)準(zhǔn)時(shí)間源。數(shù)字鐘計(jì)時(shí)周期是24小時(shí),因此必須設(shè)置24小時(shí)計(jì)數(shù)器,應(yīng)由模為60的秒計(jì)數(shù)器和分計(jì)數(shù)器及模為24的時(shí)計(jì)數(shù)器組成,秒、分、時(shí)由七段數(shù)碼管顯示。為使數(shù)字鐘走時(shí)與標(biāo)準(zhǔn)時(shí)間一致,校時(shí)電路是必不可少的。設(shè)計(jì)中采用開關(guān)控制校時(shí)直接用秒脈沖先后對“時(shí)”,“分”計(jì)數(shù)器進(jìn)行校時(shí)操作。能進(jìn)行整點(diǎn)報(bào)時(shí),在從59分50秒開始,每隔2秒鐘發(fā)出一次“嘟”的信號,連續(xù)五次,此信號結(jié)束即達(dá)到正點(diǎn)。關(guān)鍵字 振蕩器 分頻器 譯碼器 計(jì)數(shù)器 校時(shí)電路 報(bào)時(shí)電路 目 錄1 前言·····································································42 設(shè)計(jì)任務(wù)·································································42.1 課程性質(zhì)及目的·························································42.2 設(shè)計(jì)要求及指標(biāo)·························································53 數(shù)字電子鐘的組成和基本工作原理···········································53.1 振蕩器·································································63.2 分頻器·································································73.3 計(jì)數(shù)器·································································73.4 譯碼顯示電路···························································73.5 校時(shí)電路·······························································73.6 報(bào)時(shí)電路·······························································74 設(shè)計(jì)步驟與方法···························································74.1 振蕩電路·······························································74.2 分頻器電路·····························································84.3 計(jì)時(shí)器電路·····························································94.4 譯碼顯示電路···························································104.5 校時(shí)電路······························································124.6 整點(diǎn)報(bào)時(shí)電路··························································135 組裝和焊電路板··························································146 調(diào)試····································································146.1 調(diào)試原則及順序·························································146.2 調(diào)試過程中出現(xiàn)的問題和解決方法········································157 結(jié)論··································································· 158 收獲和體會······························································16致謝······································································17參考文獻(xiàn)··································································18英文摘要··································································19附錄······································································201 前言中國是世界上最早發(fā)明計(jì)時(shí)儀器的國家。有史料記載,漢武帝太初年間(紀(jì)元前104-101年)由落下閎創(chuàng)造了我國最早的表示天體運(yùn)行的儀器渾天儀。東漢時(shí)期張衡創(chuàng)造了水運(yùn)渾天儀,為世界上最早的以水位動力的觀測天象的機(jī)械計(jì)時(shí)器,是世界機(jī)械天文鐘的先驅(qū)。由此可見,我們的古人在很早以前就已經(jīng)在計(jì)時(shí)方面取得了一系列的成就,隨著人類科技的一步一步發(fā)展,我們使用的計(jì)時(shí)工具日益先進(jìn)。20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,在其推動下,現(xiàn)代電子產(chǎn)品幾乎滲透了社會的各個領(lǐng)域,有力地推動了社會生產(chǎn)力的發(fā)展和社會信息化程度的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代的節(jié)奏也越來越快,數(shù)字中已成為人們?nèi)粘I钪械谋匦杵?,廣泛用于個人家庭以及車站、碼頭、劇場、辦公室等公共場所,給人們的生活、學(xué)習(xí)、工作、娛樂帶來了極大的方便。由于數(shù)字集成電子技術(shù)的發(fā)展和采用了先進(jìn)的石英技術(shù),使數(shù)字鐘具有走時(shí)準(zhǔn)確、性能穩(wěn)定、集成電路有體積小、功耗小、功能多、攜帶方便等優(yōu)點(diǎn),因此在許多電子產(chǎn)品設(shè)備中被廣泛應(yīng)用。電子鐘是人們?nèi)粘躺钪谐S玫挠?jì)時(shí)工具,而數(shù)字式電子鐘又有體積小、重量輕、走時(shí)準(zhǔn)確、結(jié)構(gòu)簡單、耗電量少等優(yōu)點(diǎn)而在生活中被廣泛應(yīng)用,因此本次設(shè)計(jì)就用數(shù)字集成電路和一些簡單的邏輯們電路來設(shè)計(jì)一個數(shù)字式電子鐘,使其完成的現(xiàn)實(shí)功能。本次設(shè)計(jì)以數(shù)字電子為主,分別對1S時(shí)鐘信號、秒計(jì)時(shí)顯示、小時(shí)計(jì)時(shí)顯示、整點(diǎn)報(bào)時(shí)及校時(shí)電路進(jìn)行設(shè)計(jì),然后將它們組合,來完成時(shí)、分、秒的顯示并且有整點(diǎn)報(bào)時(shí)和走時(shí)校準(zhǔn)的功能。并通過本次設(shè)計(jì)加深對數(shù)字電子技術(shù)的理解以及更熟練的使用計(jì)時(shí)器、觸發(fā)器和各種邏輯門電路的能力。電路主要使用集成計(jì)時(shí)器和譯碼集成電路,電路使用5號電池供電,很適合日常生活使用。希望通過這次的課程設(shè)計(jì),我們能很好地鍛煉我們搜索資料的能力,加深我們對所學(xué)的專業(yè)知識的理解,同時(shí)能很好地培養(yǎng)我們的團(tuán)隊(duì)合作精神。2 設(shè)計(jì)任務(wù) 設(shè)計(jì)制作一個數(shù)字電子鐘。2.1課程性質(zhì)及目的 數(shù)字邏輯課程設(shè)計(jì)數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無機(jī)械裝置,具有更長的使用壽命,因此得到了廣泛的使用。數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。因此,我們此次設(shè)計(jì)與制作數(shù)字鐘就是為了了解數(shù)字鐘的原理,從而學(xué)會制作數(shù)字鐘,由數(shù)字鐘的制作過程進(jìn)一步了解各種中小規(guī)模集成電路的引腳的安排和各芯片的邏輯功能及使用方法,再通過使用Proteus仿真技術(shù),實(shí)際運(yùn)用能力,獨(dú)立完整地設(shè)計(jì)具有一定功能的電子電路。2.2 設(shè)計(jì)要求及指標(biāo)(1)時(shí)間計(jì)數(shù)電路采用24進(jìn)制,從00開始到23后再回到00;(2)各用2位數(shù)碼管顯示時(shí)、分、秒;(3)具有手動校時(shí)、校分功能,可以分別對時(shí)、分進(jìn)行單獨(dú)校正;(4)計(jì)時(shí)過程具有報(bào)時(shí)功能,當(dāng)時(shí)間到達(dá)整點(diǎn)前10秒開始,蜂鳴器響1秒停1秒地響5次。設(shè)計(jì)相關(guān)提示:(1)為了保證計(jì)時(shí)的穩(wěn)定及準(zhǔn)確,須由晶體振蕩器提供時(shí)間基準(zhǔn)信號;(2)數(shù)字鐘由振蕩器、計(jì)數(shù)器、譯碼器和顯示器電路所組成;(3)振蕩器產(chǎn)生的時(shí)鐘信號經(jīng)過分頻器形成1秒信號,秒信號輸入計(jì)數(shù)器進(jìn)行計(jì)數(shù),并把累計(jì)結(jié)果以“時(shí)”、“分”、“秒”的數(shù)字顯示出來。3 數(shù)字電子鐘的組成和基本工作原理數(shù)字電子鐘實(shí)際上是一個對標(biāo)準(zhǔn)頻率進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。它的計(jì)時(shí)周期是24小希望通過這次的課程設(shè)計(jì),我們能很好地鍛煉我們搜索資料的能力,加深我們對所學(xué)的專業(yè)知識的理解,同時(shí)能很好地培養(yǎng)我們的團(tuán)隊(duì)合作精神。時(shí),由于計(jì)數(shù)器的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致所以采用校準(zhǔn)功能和報(bào)時(shí)功能。數(shù)字鐘電路主要由譯碼顯示器、校準(zhǔn)電路、報(bào)時(shí)電路、時(shí)計(jì)數(shù)、分計(jì)數(shù)、秒計(jì)數(shù)器,振蕩電路和單次脈沖產(chǎn)生電路組成。其中電路系統(tǒng)由秒信號發(fā)生器、“時(shí)”、“分”、“秒”計(jì)數(shù)器、譯碼器及顯示器、校準(zhǔn)電路、整點(diǎn)報(bào)時(shí)電路組成。秒信號產(chǎn)生器是整個系統(tǒng)的時(shí)基信號,它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實(shí)現(xiàn),將標(biāo)準(zhǔn)秒信號送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個“分脈沖”信號,該信號將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖?!胺钟?jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個時(shí)脈沖信號,該信號將被送到時(shí)計(jì)數(shù)器。時(shí)計(jì)數(shù)器采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對一天24小時(shí)的計(jì)時(shí)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器的輸出狀態(tài)通過顯示驅(qū)動電路,七段顯示譯碼器譯碼,在經(jīng)過六位LED七段顯示器顯示出來。整點(diǎn)報(bào)時(shí)電路時(shí)根據(jù)計(jì)時(shí)系統(tǒng)的輸出狀態(tài)產(chǎn)生一個脈沖信號,然后去觸發(fā)音頻發(fā)生器實(shí)現(xiàn)報(bào)時(shí)。校準(zhǔn)電路時(shí)用來對“時(shí)”、“分”、“秒”顯示數(shù)字進(jìn)行校對調(diào)整的。譯碼驅(qū)動譯碼驅(qū)動時(shí)十位計(jì)數(shù)分頻器電路分頻器電路振蕩器電路譯碼驅(qū)動譯碼驅(qū)動譯碼驅(qū)動譯碼驅(qū)動時(shí)個位計(jì)數(shù)分十位計(jì)數(shù)分十位計(jì)數(shù)秒十位計(jì)數(shù)秒十位計(jì)數(shù)校時(shí)電路校分電路圖1 數(shù)字鐘組成框圖3.1 振蕩器振蕩器是數(shù)字鐘的核心,其的作用是產(chǎn)生一個頻率標(biāo)準(zhǔn)時(shí)間頻率信號,然后再由分頻器分秒脈沖,因此,振蕩器頻率的精度與穩(wěn)定度基本決定了數(shù)字電子鐘的質(zhì)量。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,本設(shè)計(jì)選用晶體振蕩器電路。一般來說,振蕩器的頻率越高,計(jì)時(shí)精度越高。采用石英晶體振蕩器經(jīng)過分頻得到這一個頻率穩(wěn)定準(zhǔn)確的32768Hz的方波信號,保證數(shù)字鐘的走時(shí)準(zhǔn)確及穩(wěn)定。3.2 分頻器分頻器電路將32768z的高頻方波信號經(jīng)CD4060后16384(214)次分頻后得到2Hz的方波信號,然后信號再經(jīng)過74LS74分頻產(chǎn)生1HZ信號供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù),為此電路輸送一秒脈沖。分頻器實(shí)際上也就是計(jì)數(shù)器。3.3 計(jì)數(shù)器時(shí)間計(jì)數(shù)電路由“秒”個位和“秒”十位計(jì)數(shù)器、“分”個位和“分”十位計(jì)數(shù)器及“時(shí)”個位和“時(shí)”十位計(jì)數(shù)器電路構(gòu)成,其中“秒”個位和“秒”十位計(jì)數(shù)器、“分”個位和“分”十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,通常用2個十進(jìn)位計(jì)數(shù)器的集成片組成,其中“秒”個位是十進(jìn)制,“秒”十位為六進(jìn)制??刹捎梅答仛w零變“秒”十位為六進(jìn)制,實(shí)現(xiàn)秒的六十進(jìn)制?!胺帧庇?jì)數(shù)器原理也一樣。“時(shí)”計(jì)數(shù)器直接采用反饋清零法來實(shí)現(xiàn)24進(jìn)制。3.4 譯碼顯示電路 是將數(shù)字鐘的計(jì)時(shí)狀態(tài)直觀清晰地反映出來,被人們的視覺器官所接受。顯示器件選用LED七段數(shù)碼管。在譯碼顯示電路輸出信號的驅(qū)動下,顯示出清晰、直觀的數(shù)字符號.并且為保證數(shù)碼管正常工作提供足夠的工作電流。3.5 校時(shí)電路實(shí)際的數(shù)字鐘電路由于秒信號的精確性和穩(wěn)定性不可能做到完全(絕對)準(zhǔn)確無誤,加之電路中其它原因,數(shù)字鐘總會產(chǎn)生走時(shí)誤差的現(xiàn)象。因此,電路中就應(yīng)該有校準(zhǔn)時(shí)間功能的電路。由于開關(guān)的靈敏性非常高,造成在校時(shí)時(shí)很不穩(wěn)定,一般在校時(shí)電路中加入防抖動電路,這樣才能真正地進(jìn)行人工校時(shí)。3.6 報(bào)時(shí)電路當(dāng)數(shù)字鐘顯示整點(diǎn)時(shí),應(yīng)能報(bào)時(shí)。要求當(dāng)數(shù)字鐘的“分”和“秒”計(jì)數(shù)器計(jì)到59分50秒時(shí),驅(qū)動蜂鳴器,蜂鳴器響一秒停一秒的響5次。4 設(shè)計(jì)步驟與方法4.1 振蕩電路晶體振蕩器是構(gòu)成數(shù)字式時(shí)鐘的核心,它保證了時(shí)鐘的走時(shí)準(zhǔn)確及穩(wěn)定。如圖2所示電路通過非門構(gòu)成的輸出為方波的數(shù)字式晶體振蕩電路,這個電路中,輸出反饋電阻R1為電路提供偏置,使電路工作于放大區(qū)域,電容C1、C2與晶體構(gòu)成一個諧振型網(wǎng)絡(luò),完成對振蕩頻率的控制功能,同時(shí)提供了一個180度相移,實(shí)現(xiàn)了振蕩器的功能。由于晶體具有較高的頻率穩(wěn)定性及準(zhǔn)確性,從而保證了輸出頻率的穩(wěn)定和準(zhǔn)確。晶體X1的頻率選為32768Hz。其中C1的值取22 pF,C2為22pF。C1作為校正電容可以對溫度進(jìn)行補(bǔ)償,以提高頻率準(zhǔn)確度和穩(wěn)定度。由于電路的輸入阻抗極高,因此反饋電阻R1可選為10M。較高的反饋電阻有利于提高振蕩頻率的穩(wěn)定性。 圖2 晶體振蕩器4.2 分頻器電路由數(shù)字鐘的晶體振蕩器輸出頻率較高,為了得到1Hz的秒信號輸入,需要對振蕩器的輸出信號進(jìn)行分頻。實(shí)現(xiàn)分頻器的電路是計(jì)數(shù)器電路,一般采用多級2進(jìn)制計(jì)數(shù)器來實(shí)現(xiàn)。例如,將32767Hz的振蕩信號分頻為1Hz的分頻倍數(shù)為32767(2),即實(shí)現(xiàn)該分頻功能的計(jì)數(shù)器相當(dāng)于15極2進(jìn)制計(jì)數(shù)器。本實(shí)驗(yàn)中采用CD4060和74LS74來構(gòu)成分頻電路。CD4060在數(shù)字集成電路中可實(shí)現(xiàn)的分頻次數(shù)最高,而且CD4060還包含振蕩電路所需的非門,使用更為方便。CD4060計(jì)數(shù)為最高為14級2進(jìn)制計(jì)數(shù)器,首先由U1(CD4060)的Q14(第3腳)產(chǎn)生2Hz的振蕩信號,然后經(jīng)過74LS74輸出一個的分脈沖,作為秒鐘計(jì)數(shù)器的秒鐘信號 (因?yàn)?Hz的信號經(jīng)1位二進(jìn)制計(jì)數(shù)器分頻后為1Hz)。如圖3所示。圖3 分頻器4.3 計(jì)數(shù)器秒脈沖信號經(jīng)過級計(jì)數(shù)器,分別得到“秒”個位、十位,“分”個位、十位以及“時(shí)”個位、十位的計(jì)時(shí)?!懊搿?、“分”計(jì)數(shù)器為60秒為1分、60分為1小時(shí)、24小時(shí)為1天的計(jì)數(shù)周期,分別組成兩個六十進(jìn)制(秒、分)、一個二十四進(jìn)制(時(shí))的計(jì)數(shù)器。將這些計(jì)數(shù)器適當(dāng)?shù)剡B接,就可以構(gòu)成秒、分、時(shí)的計(jì)數(shù),實(shí)現(xiàn)計(jì)時(shí)的功能進(jìn)制計(jì)數(shù)器。它們都可以用兩個“二- 十進(jìn)制”計(jì)數(shù)器來實(shí)現(xiàn)。60進(jìn)制采用反饋清零法構(gòu)成的六十進(jìn)制和二十四進(jìn)制加法計(jì)數(shù)器電路分別見圖4(a)和圖4 (b)所示4.3.1 計(jì)數(shù)器六十進(jìn)制的接法圖4(a)個位為十進(jìn)制.故BI=1,LT=1,EL=0,計(jì)數(shù)到9以后自動清零,向高位進(jìn)位信號采用QdQcQbQa=1001,將Qc,Qb送入與非門, 與非門的輸出可以做進(jìn)位信號。因?yàn)?當(dāng)Qc,Qb不同時(shí)為1,Y為1當(dāng)Qc,Qb同時(shí)為1時(shí),Y為0,同時(shí)計(jì)數(shù)器到9后自動清零,這時(shí)Y又變?yōu)?,即出現(xiàn)了一個上升沿。十位接成六進(jìn)制,利用QdQcQbQa=0110的信號清零,同時(shí)結(jié)合高位進(jìn)位。 74LS90 R0(1) R0(2) IC2 74LS90IC1&1QD1QA2QB2QC2CP2CP11秒74LS0074LS04至分(時(shí))計(jì)數(shù)器的CP端圖4(a) 60進(jìn)位計(jì)時(shí)器4.3.2二十四進(jìn)制計(jì)數(shù)器的接法 個位為進(jìn)制計(jì)數(shù)器,當(dāng)計(jì)數(shù)器計(jì)數(shù)到24時(shí),即十位為0010,個位為0100時(shí),同時(shí)清零,達(dá)到了二十四進(jìn)制計(jì)數(shù)器的目的,即高位的Qb,底位的Qc送入與非門做清零信號,如圖4(b) 二十四進(jìn)制計(jì)數(shù)器。 74LS90 R0(1) R0(2) IC6 74LS90R0(1) R0(2) IC5QD5QB6CP1CP5時(shí)(來自分輸出的進(jìn)位信號)QC5圖4(b) 24進(jìn)位計(jì)時(shí)器在這兩個電路中,計(jì)數(shù)器的控制脈沖由CP端輸入,1EN接高電平,當(dāng)“計(jì)數(shù)器的狀態(tài)由1001向0000轉(zhuǎn)換時(shí),1Qd(2EN)正好是一個下降沿,高位的計(jì)數(shù)器開始計(jì)數(shù)。在圖4 (a)中,將2Qc和2Qb相與后接至CR端,構(gòu)成了六十進(jìn)制計(jì)數(shù)器,在圖4(b)中,將2Qb和1Qc相與后接至CR端構(gòu)成了二十四進(jìn)制計(jì)數(shù)器。4.4 譯碼顯示電路數(shù)碼管是數(shù)碼顯示器的俗稱。常用的數(shù)碼顯示器有半導(dǎo)體數(shù)碼管,熒光數(shù)碼管,輝光數(shù)碼管和液晶顯示器等。譯碼和數(shù)碼顯示電路是將數(shù)字鐘和計(jì)時(shí)狀態(tài)直觀清晰地反映出來,被人們的視覺器官所接受。顯示器件選用LED七段數(shù)碼管。在譯碼顯示電路輸出的驅(qū)動下,顯示出清晰、直觀的數(shù)字符號。本設(shè)計(jì)所選用的是半導(dǎo)體數(shù)碼管,是用發(fā)光二極管(簡稱LED)組成的字形來顯示數(shù)字,七個條形發(fā)光二極管排列成七段組合字形,便構(gòu)成了半導(dǎo)體數(shù)碼管。半導(dǎo)體數(shù)碼管有共陽極和共陰極兩種類型。共陽極數(shù)碼管的七個發(fā)光二極管的陽極接在一起,而七個陰極則是獨(dú)立的。共陰極數(shù)碼管與共陽極數(shù)碼管相反,七個發(fā)光二極管的陰極接在一起,而陽極是獨(dú)立的。 當(dāng)共陽極數(shù)碼管的某一陰極接低電平時(shí),相應(yīng)的二極管發(fā)光,可根據(jù)字形使某幾段二極管發(fā)光,所以共陽極數(shù)碼管需要輸出低電平有效的譯碼器去驅(qū)動。共陰極數(shù)碼管則需輸出高電平有效的譯碼器去驅(qū)動。當(dāng)數(shù)字鐘的計(jì)數(shù)器在CP脈沖韻作用下,按60秒為1分、60分為1小時(shí),24小時(shí)為1天的計(jì)數(shù)規(guī)律計(jì)數(shù)時(shí),就應(yīng)將其狀態(tài)顯示成清晰的數(shù)字符號。這就需要將計(jì)數(shù)器的狀態(tài)進(jìn)行譯碼并將其顯示出來。我們選用的計(jì)數(shù)器全部是二-十進(jìn)制集成片,“秒”、“分”、“時(shí)”的個位和十位的狀態(tài)分別由集成片中的四個觸發(fā)器的輸出狀態(tài)來反映的。每組(四個)輸出的計(jì)數(shù)狀態(tài)都按 BCD代碼以高低電平來表現(xiàn)。因此,譯碼顯示電路選用驅(qū)動器CD4511。七段顯示數(shù)碼管的外部引線排列見圖5(a)、(b)。現(xiàn)以60進(jìn)制“秒”計(jì)時(shí)電路為例,將計(jì)數(shù)器、譯碼顯示器和顯示數(shù)碼管連在一起,其電路示意圖見圖6 圖5(a) 譯碼器外引線排列 圖5(b) 二極管示意圖圖6 譯碼器與數(shù)碼管的連接圖4.5 校時(shí)電路實(shí)際的數(shù)字鐘表電路由于秒信號的精確性不可能做到完全(絕對)準(zhǔn)確無誤,加之電路中其它原因,數(shù)字鐘總會產(chǎn)生走時(shí)誤差的現(xiàn)象。因此,電路中就應(yīng)該有校準(zhǔn)時(shí)間功能的電路。使用一個常閉開關(guān),當(dāng)按下時(shí)產(chǎn)生一個脈沖,以此來實(shí)現(xiàn)校時(shí)。由于是機(jī)械式開關(guān),按下時(shí)會發(fā)生抖動產(chǎn)生連續(xù)脈沖,為保證按下開關(guān)一下只送出一個脈沖,必須加入去抖電路如圖7。圖7 去抖電路4.6 整點(diǎn)報(bào)時(shí)電路數(shù)字鐘整點(diǎn)報(bào)時(shí)是最基本的功能之一?,F(xiàn)在設(shè)計(jì)的電路要求在離電路應(yīng)在整點(diǎn)前10秒鐘內(nèi)開始整點(diǎn)報(bào)時(shí),即當(dāng)時(shí)間在59分51秒到59分59秒期間時(shí),報(bào)時(shí)電路報(bào)時(shí)控制信號每隔1秒鐘鳴叫一次,每次持續(xù)時(shí)間為1秒,共響5次。如圖8。74LS30中8個輸入端分別接Qa(3),Qc(3),Qa(2),Qd(2),Qa(1),Qc(1),Qb(0),O3.圖8 報(bào)時(shí)器電路最后按照圖1 的數(shù)字鐘組成框圖,把各個功能部件正確連接,如圖9.圖9 數(shù)字鐘邏輯電路圖5 組裝和焊電路板在實(shí)驗(yàn)板上組裝電子時(shí)鐘時(shí),應(yīng)嚴(yán)格按圖連接引腳,注意走線整齊,布局合理,飛線不能太長,不美觀也容易產(chǎn)生混亂。器件的懸空端,清零端要正確處理。插拔集成芯片時(shí)要用力均勻,避免芯片管腳在插拔過程中變彎,折斷。6調(diào)試6.1 調(diào)試原則及順序6.1.1 接通電源逐步調(diào)試如果出現(xiàn)錯誤,可先檢查各芯片的電源線是否接上,并保證有正常的工作電壓。按圖6電路在數(shù)字電路實(shí)驗(yàn)箱上連線。它是由十進(jìn)制加法計(jì)數(shù)器、驅(qū)動器CD4511和LED七段數(shù)碼管組成。觀察在CP作用下數(shù)碼管的顯示情況。需要注意的是,CD4511正常工作時(shí),BI、LT為高電平,EL應(yīng)為低電平。按圖4電路在實(shí)驗(yàn)箱上連線。按圖4(a)電路連線,輸出可接發(fā)光二極管。觀察在CP作用下(CP為1Hz可直接由實(shí)驗(yàn)箱連續(xù)脈沖輸出端提供)輸出端發(fā)光二極管的狀態(tài)變化情況,驗(yàn)證是否為六十進(jìn)制計(jì)數(shù)器。按圖4(b)電路連線驗(yàn)證該電路是否為二十四進(jìn)制計(jì)數(shù)器。其次安裝的是晶體振蕩電路。按圖2電路連線,輸出接發(fā)光二極管,觀察發(fā)光二極管的顯示情況。6.1.2 按順序?qū)﹄娐方泳€和調(diào)試在數(shù)字電路實(shí)驗(yàn)箱上對校時(shí)電路連線。將電路輸出(門5)接發(fā)光二極管。撥動開關(guān),觀察在CP(1Hz)作用下,輸出端發(fā)光二極管的顯示情況。根據(jù)開關(guān)的不同狀態(tài),輸出端輸出頻率之比約為1:60,“開關(guān)可以取自實(shí)驗(yàn)箱上的邏輯電平開關(guān)。對整點(diǎn)報(bào)時(shí)電路的安裝因?yàn)閳?bào)時(shí)電路發(fā)出聲響的時(shí)間是59分51秒至59分60秒之間,59分的狀態(tài)是不變的。測試時(shí),lHz的CP信號可由實(shí)驗(yàn)箱上獲得,觀察計(jì)數(shù)器在CP信號的作用下,蜂鳴器發(fā)出聲響的情況。將時(shí)間調(diào)整到59分50秒,觀察報(bào)時(shí)電路能否準(zhǔn)確報(bào)時(shí)。如果不正常,則需檢查相應(yīng)的CD4511芯片。62 調(diào)試過程中出現(xiàn)的問題及解決方法。1. 第一次調(diào)試:問題:無法計(jì)數(shù),分鐘的個位顯示不正常。檢查分析原因:分鐘個位數(shù)碼管其中兩根接線接錯,晶體振蕩器沒接電源。解決方法:數(shù)碼管兩根接線重新正確焊接,晶體振蕩器接上電源。結(jié)果:問題解決。2第二次調(diào)試:問題:按下校時(shí)開關(guān)有抖動現(xiàn)象,59分后沒有報(bào)時(shí)。檢查分析原因:開關(guān)質(zhì)量有問題,報(bào)時(shí)電路中的芯片接線不完整,電源沒接上。解決方法:更換新的開關(guān),為報(bào)時(shí)電路的芯片焊好接線,接上電源。結(jié)果:問題解決。3.第三次調(diào)試:除了由于沒接上負(fù)載電阻使數(shù)碼管太亮外,其他功能正常。老師驗(yàn)收及格。7 結(jié)論 最后完成的作品經(jīng)過調(diào)試算是比較令人滿意的,唯一的不足的是數(shù)碼管沒有接上分壓電阻,使二極管上電壓過大,發(fā)光發(fā)熱比較大,壽命短,不耐用。還有考慮到電路的復(fù)雜,沒有設(shè)計(jì)出擴(kuò)展功能。8 收獲與體會在確立設(shè)計(jì)任務(wù)中,組員出現(xiàn)過分歧,一種是堅(jiān)持做數(shù)字鐘的,一種是要求做交通燈的。最后通過溝通和協(xié)商確立了設(shè)計(jì)任務(wù),分配好每個組員的工作,支持和信賴是我們克服每一個困難的動力。在設(shè)計(jì)的過程中,我們?yōu)榱藢?shí)現(xiàn)某種功能而去挑選芯片,而到實(shí)際使用這些芯片的時(shí)候,我們對這些芯片的功能以及它如何實(shí)現(xiàn)功能原理有了更多的認(rèn)識。雖然這只是一次簡單的課程設(shè)計(jì),但通過這次課程設(shè)計(jì)我們了解了課程設(shè)計(jì)的一般步驟,和設(shè)計(jì)中應(yīng)注意的問題。設(shè)計(jì)本身并不是有很重要的意義,而是我們對待問題時(shí)的態(tài)度和處理事情的能力。這種能力很好的體現(xiàn)在仿真的過程中。我們設(shè)計(jì)圖中的某些元器件的表示方法跟仿真軟件的是不同,這就要我們耐心的去比較,認(rèn)識,知道它到底表示的是我們常用的哪一個元件。甚至,有些元器件是仿真軟件中沒有的,例如雙位7段數(shù)碼管,我們只有大膽的使用單位數(shù)碼管來替代進(jìn)行仿真。仿真過程中,我們發(fā)現(xiàn)晶體振蕩器不工作,但實(shí)際電路中它是可以工作的,這迫使我們使用函數(shù)發(fā)生器進(jìn)行替代,我們不能因?yàn)橐粋€軟件上的問題而止步不前。這會使人疑問,仿真的電路與實(shí)際電路有這么多的替代,仿真的結(jié)果能信任嗎? 這時(shí)候只能憑借自己學(xué)到的知識去判斷了,勇敢的相信自己的判斷,不然畏首畏尾反而沒有作為。最后的實(shí)驗(yàn)結(jié)果,證明了當(dāng)初我們正確的判斷。整個過程中,我們感覺焊接電路是最考技術(shù)和毅力的。有好的設(shè)計(jì)圖如果焊接不好的話只是一張廢紙,一塊廢板。我們把這個重任交給了女生,因?yàn)橄嘈排容^細(xì)心和有毅力。調(diào)試過程中,因?yàn)楹附拥煤茫詥栴}不多,即使有問題,也迅速發(fā)現(xiàn)問題所在并加以糾正。總的來說,我們在這次課程設(shè)計(jì)中加強(qiáng)了理論知識的學(xué)習(xí)和提高了動手能力和思考能力以及分析問題,解決問題的能力。致 謝在做課程設(shè)計(jì)的過程中,從確立設(shè)計(jì)方向到資料收集,電路設(shè)計(jì),仿真,焊接線路,調(diào)試到最后驗(yàn)收,每一步的工作量和重要性都是不可忽略的,就像數(shù)字鐘每個焊點(diǎn)每根接線都要做好一樣。大量的繁瑣的工作很容易使人疲倦和暴躁,組員之間需要足夠的交流和體諒才能向前邁進(jìn)。幸運(yùn)的是,我們做到了,遇到問題一起解決,遇到挫折不會相互埋怨,最后才能過五關(guān)斬六將贏得勝利。感謝各位組員的通力合作和充分信賴,忠心感謝許老師在實(shí)驗(yàn)過程中給我們的諄諄教導(dǎo);忠心感謝學(xué)校給我提供這次課程設(shè)計(jì)的機(jī)會。參 考 文 獻(xiàn)(1)康華光.2005.電子技術(shù)基礎(chǔ).武漢:高等教育出版社.246-302 (2)楊慶.2008. 基于Multisim 8的數(shù)字鐘的設(shè)計(jì)與仿真.山西電子技術(shù),第二期33-34The Design of Digital ClockLiang Aidi Liang Jiaan Lu Hongju(College of Engineering,South China Agricultural University Guangzhou 510642,China)Abstract: In the life of the various occasions often used electric clock, the rapid development of modern electronic technology, products of intelligent digital circuit, and the corresponding with the simple circuit, high reliability and low cost advantages, the design of digital circuit design as the core in intelligent electric clock.A digital clock is adopted, the realization of digital circuit, second readier digital display timer, widely used in individual family, station, wharf and public places such as office, as people daily life necessities, due to the development of digital IC quartz crystal oscillator and extensive application of the digital clock, the precision, clocks, watches over old shipped to the digital production and life bring great convenience, and greatly expanded the clock chime of the original function. Therefore, the digital clock and expand their application, has very realistic significance. This circuit design by timing circuit, dynamic display circuit, control circuit and display circuit components in the digital display tube, 24 hours timer, has cleared, maintain, school, working function, and based on this week showed increased the function.Digital clock frequency signal should be standard is stable, so 1HZ seconds to set standards pulse time source. Digital clock cycle is 24 hours, and therefore must be set by the counter, 24 hours for 60 seconds, mold and die counter and counter for 24 when the counter composition, second, points, seven period by digital tube display. For digital clock with standard time, when the school when the circuit is indispensable. Design switch control when the school directly with second pulse successively in "time", and "points" counter for school when operating. Viewers can chime in 50 seconds from 59, every 2 seconds to send a signal of "du", continuously five times, the signal is punctual to end.Key words:digital clock timing give the correct time附錄1表1 元器件清單元件名稱 數(shù)量 萬能板 1雙位共陰極7段數(shù)碼管 3 CD4511集成塊 6CD4060集成塊 1 74LS00集成塊 174LS04集成塊 274LS30集成塊 174LS32集成塊 274LS74集成塊 174LS90集成塊 6IC插座 若干電阻(100K) 4電阻(10M) 1可調(diào)電容 兩個晶振32768HZ 1蜂鳴器 1按鈕開關(guān) 2連接導(dǎo)線 若干 附錄2 元件管腳圖 圖10 4060管腳圖 圖11 CD4511管腳圖 圖12 74LS00管腳圖 圖13 74LS74管腳圖 圖13 74LS04引腳圖 圖14 74LS90引腳圖 圖15 74LS30 引腳圖 圖16 74LS32引腳圖24

注意事項(xiàng)

本文(課程設(shè)計(jì) 數(shù)字電子鐘)為本站會員(痛***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!