九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

LED電子顯示屏設(shè)計(jì)論文說明書

  • 資源ID:605431       資源大?。?span id="24d9guoke414" class="font-tahoma">20.99MB        全文頁數(shù):30頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

LED電子顯示屏設(shè)計(jì)論文說明書

I本科畢業(yè)論文(設(shè)計(jì))(2015 屆)LED 電子顯示屏的設(shè)計(jì)院 系 電子信息工程學(xué)院 專 業(yè) 電氣工程及其自動(dòng)化 姓 名 指導(dǎo)教師 助教 2014 年 5 月學(xué)號(hào):2015 屆本科畢業(yè)論文(設(shè)計(jì))I摘 要Light Emitting Diode 是 LED 的全稱。LED 電子顯示屏是一種顯示屏幕,它能夠顯示多種多樣的信號(hào)信息,如動(dòng)畫、文字、視頻、圖形、錄像信號(hào)等各種信息,且 LED 電子顯示屏是通過控制半導(dǎo)體二極管顯示的方式來實(shí)現(xiàn)的。 視頻顯示屏和圖文顯示屏 LED 顯示屏的兩種重要的類型,它們均是由 LED 矩陣塊組成的。視頻顯示屏顯示是通過微型計(jì)算機(jī)來進(jìn)行進(jìn)行控制的。在與計(jì)算機(jī)同時(shí)間的顯示信息,我們通常采用圖文顯示屏。LED 顯示屏顯示效果良好,設(shè)計(jì)簡(jiǎn)單,價(jià)格便宜等優(yōu)勢(shì),深受廣大消費(fèi)者的喜愛。應(yīng)用領(lǐng)域相當(dāng)廣泛,例如車站、商場(chǎng)、醫(yī)院、銀行以及其它一些需要的場(chǎng)所。眾多的半導(dǎo)體二極管像素點(diǎn)共同組成 LED 電子顯示屏。而不同材料可以制造成不同色彩的 LED 像素點(diǎn)。 近年來,科學(xué)技術(shù)發(fā)展迅速,新技術(shù)不斷更新,使得 LED 電子顯示屏方面的新技術(shù)與新理論不斷更新,同時(shí)使得 LED 電子顯示屏的應(yīng)用范圍更加廣泛。關(guān)鍵詞:視頻顯示屏; 圖文顯示屏;LED;LED 像素點(diǎn) 2015 屆本科畢業(yè)論文(設(shè)計(jì))IIAbstractLED is a light emitting diode, LED electronic display is a display screen what can display all kinds of information, such as animation, text, graphics, video signal and other signal. By controlling the semiconductor diode to achieve their function. Video display and graphic display are important types of LED display . They are both composed by the LED matrix .The types of video display is performed by controllingthe microcomputer. We often use graphic display types when display information with your computer as same time. LED screen have a good effect and is very cheap, so many people fall in love with it. LED screen have a wide range of applications region, such as stations, shopping malls, hospitals, banks and other place. LED screen are made by many semiconductor pixels and the different materials can be made into different colors of LED pixels.In recent years, The science and technology what with the rapid development speedpromote the technology improvement of LED screen. As the same time , Application range of the LED screen more and more extensive .Keywords: video screen; graphic screen;LED;LED pixels目 錄摘 要 IABSTRACT.II2015 屆本科畢業(yè)論文(設(shè)計(jì))III目 錄 III1 緒論 11.1 課題研究背景 11.2 發(fā)展現(xiàn)狀及其趨勢(shì) 11.3 課題內(nèi)容與意義 22 顯示原理及控制方式分析 .22.1 LED 點(diǎn)陣模塊的結(jié)構(gòu) .22.2 LED 動(dòng)態(tài)顯示器原理 .32.3 LED 常見控制方式 .32.4 本章小結(jié) 43 系統(tǒng)硬件設(shè)計(jì) 43.1 硬件電路設(shè)計(jì) 43.2 電源電路設(shè)計(jì) 73.3 復(fù)位電路設(shè)計(jì) 73.4 晶振電路設(shè)計(jì) 83.5 顯示電路設(shè)計(jì) 93.6 本章小結(jié) .114 系統(tǒng)軟件設(shè)計(jì) .124.1 軟件任務(wù)分析 .124.2 程序設(shè)計(jì) .134.3 本章小結(jié) .175 系統(tǒng)測(cè)試模塊 .185.1 調(diào)試系統(tǒng) .195.2 本章小結(jié) .20結(jié)論與展望 .21致謝 .22參考文獻(xiàn) .23附錄 A:系統(tǒng)的原理圖 .24附錄 B:系統(tǒng)的 PCB 圖 .25附錄 C:系統(tǒng)的仿真圖 .262015 屆本科畢業(yè)論文(設(shè)計(jì))IV附錄 D:系統(tǒng)的源程序 .262015 屆本科畢業(yè)論文(設(shè)計(jì))11 緒論1.1 課題研究背景LED 模塊主要是由 PN 結(jié)(電極)光學(xué)以及芯片構(gòu)成。當(dāng)在 PN 結(jié)上后再加以正向電壓,導(dǎo)致 P 區(qū)的空穴注入到 N 區(qū),同時(shí) N 區(qū)的電子注入到 P 區(qū),注入的電子與空穴相遇后即可產(chǎn)生復(fù)合,這些多數(shù)載流子在結(jié)的注入和復(fù)合中產(chǎn)生輻射而發(fā)生光亮。在受到外界刺激擾動(dòng)的時(shí)候,系統(tǒng)會(huì)從低能態(tài)(穩(wěn)定)跳躍到高能態(tài)(不穩(wěn)定),而在系統(tǒng)由高能態(tài)(不穩(wěn)定)回到低能態(tài)(穩(wěn)定)時(shí),能量差就會(huì)以光的形式輻射出來釋放掉,這就是常見的光現(xiàn)象。 由于受到半導(dǎo)體工業(yè)的迅猛發(fā)展的影響,90 年代以后 LED 制造工藝得以很大改進(jìn)。早期的 LED 顯示屏,由于受材料和工藝等等條件的限制,LED 顯示屏的發(fā)展比較遲緩,導(dǎo)致行業(yè)的不法比較緩慢。后來又由于受到數(shù)字技術(shù)和控制技術(shù)等技術(shù)的限制,在高密度(分辨率方面)的 LED 顯示屏難以實(shí)現(xiàn),成為了一個(gè)難以攻克的難題。近年來,隨著工藝的不斷發(fā)展,無論加工工藝方面,還是材料等方面,都在不斷地提高,LED 顯示屏在亮度、顏色、視角、壽命等方面也會(huì)逐步完善,并且價(jià)格也還會(huì)進(jìn)一步降低。這些年以來,世界范圍內(nèi)“高亮度發(fā)光二極管技術(shù)”得到了重視,發(fā)展相當(dāng)迅速。并且 LED 顯示屏的實(shí)際應(yīng)用場(chǎng)合也是越來越多,其顯示內(nèi)容也是越來越豐富,使得LED 的研究更加具有誘惑力。伴隨著 VLSI 的一系列技術(shù)突破,起發(fā)展進(jìn)程相當(dāng)之快。因此,我們相信 LED 顯示屏在一些關(guān)鍵技術(shù)方面在將來肯定會(huì)有比較大的突破。在產(chǎn)品性能方面,通用 VLSI 會(huì)使其提高很多,并且成本也會(huì)下降不少??v觀 LED 顯示控制集成電路的發(fā)展,在未來的社會(huì)其必將會(huì)有一席之地。1.2 發(fā)展現(xiàn)狀和趨勢(shì)現(xiàn)如今 LED 顯示屏產(chǎn)品已經(jīng)覆蓋商業(yè)、民生、公共管理、能源、工業(yè)、娛樂等幾十個(gè)比較常見應(yīng)用領(lǐng)域,這些領(lǐng)域與我們的生活息息相關(guān)。與以前狹窄的高端應(yīng)用擴(kuò)展、專業(yè)級(jí)領(lǐng)域相比有了很大的進(jìn)步。近年來,液晶面板廠商產(chǎn)品不斷推陳布新,市場(chǎng)格局日新月異,加上 LED 顯示屏產(chǎn)品線的不斷創(chuàng)新,液晶面板走勢(shì)使得產(chǎn)品更加“親民?,F(xiàn)階段,根據(jù)最新數(shù)據(jù)統(tǒng)計(jì)的結(jié)果,在我們當(dāng)前的一些大屏顯示技術(shù)當(dāng)中,LED 顯示屏的市場(chǎng)份額幾乎快趕上 DLP 的市占率,已經(jīng)占到整個(gè)市場(chǎng)的 48%,份額非常之高。中國(guó)的 LED 顯示屏發(fā)展大約經(jīng)歷了三個(gè)階段: 1)成長(zhǎng)形成期:在國(guó)內(nèi),LED 發(fā)展還面臨著許多障礙,如材料的限制等,從而 LED顯示屏應(yīng)用范圍還是比較局限,大多數(shù)想法還僅僅局限在理論方面。與此同時(shí)目前使用的一些技術(shù)如“通信控制方式顯示屏控制技術(shù)”,這種技術(shù)在一定程度上影響了 LED 顯2015 屆本科畢業(yè)論文(設(shè)計(jì))2示屏的顯示效果。這一時(shí)期 LED 顯示屏國(guó)內(nèi)應(yīng)用很少,而在國(guó)外應(yīng)用非常廣泛,產(chǎn)品的成本相對(duì)來講比較高,效果比較差。 2)迅速發(fā)展的時(shí)期:隨著全球信息的不斷發(fā)展,各個(gè)領(lǐng)域的技術(shù)不斷得到突破。首先,在材料與控制技術(shù)等方面 LED 顯示屏源源不斷的出現(xiàn)了一批批的新成果、新發(fā)現(xiàn),推進(jìn)了 LED 顯示屏技術(shù)的發(fā)展。另外,在藍(lán)色 LED 晶片研制成功之后, LED 顯示屏在國(guó)內(nèi)的發(fā)展變得更加迅速,此后全彩色 LED 顯示屏開始走向市場(chǎng),應(yīng)用領(lǐng)域開始進(jìn)一步擴(kuò)大。3)應(yīng)用領(lǐng)域更為廣闊:國(guó)內(nèi)在“全彩色 LED 顯示屏 ”以及“256 級(jí)灰度視頻控制技術(shù)”等方面已經(jīng)達(dá)到國(guó)際水平的技術(shù),成果繁多。隨著 LED 顯示屏產(chǎn)業(yè)在規(guī)模的發(fā)展,產(chǎn)品新技術(shù)也是層不不窮,與國(guó)際一直保持同步的速度。1.3 課題內(nèi)容與意義單片機(jī)的全稱被我們通常稱為單片微型計(jì)算機(jī),它是一種微型計(jì)算機(jī)系統(tǒng),是為各類專用控制器設(shè)計(jì)的專用的、通用的計(jì)算機(jī)系統(tǒng)。自問世以來,應(yīng)用廣泛,發(fā)展迅速,受到人們的廣泛關(guān)注和重視。因此,點(diǎn)陣圖形顯示漢字也應(yīng)用到了很多的的領(lǐng)域,例如在現(xiàn)代工業(yè)控制和一些智能化儀器儀表中都有涉及,還有汽車報(bào)站器,廣告屏等。所以LED 電子顯示屏的一系列研究實(shí)用意義非常大。雖然現(xiàn)代 LED 技術(shù)的發(fā)展非常迅速,但是在很多方面很多相關(guān)的專業(yè)知識(shí)已經(jīng)不在我們的能力能力范圍之內(nèi),需要進(jìn)一步的去突破,所以在本次設(shè)計(jì)中我只是簡(jiǎn)單顯示移動(dòng)的漢字。 本次設(shè)計(jì)的 16x16 點(diǎn)陣由四塊 8*8 點(diǎn)陣組成,需要用到 32 個(gè)驅(qū)動(dòng),由 16 個(gè)行驅(qū)動(dòng)加上 16 個(gè)列驅(qū)動(dòng)組成??偣灿?256(16*16)個(gè)發(fā)光管,我們采用的是動(dòng)態(tài)驅(qū)動(dòng)方式。每次顯示一行后再顯示下一行,逐步顯示。2 顯示原理及控制方式分析2.1 LED 點(diǎn)陣模塊結(jié)構(gòu)“組合型 LED 點(diǎn)陣顯示器模塊 ”出現(xiàn)在八十年代,它是有亮度大的發(fā)光二極管芯陣列組和樹脂封裝而成,并且以發(fā)光二極管為像素,形成了一種封裝行的點(diǎn)陣 LED 模塊,具有一系列的特點(diǎn),如引腳少、壽命長(zhǎng)、高亮度、耐濕、視角大等等。依據(jù)像素顏色的數(shù)目,我們通??梢詫?LED 點(diǎn)陣模塊分為三基色、雙基色、單色等。在顯示的過程中,不同的像素顏色在顯示文字還有圖像的內(nèi)容方面顏色也會(huì)是不一樣。如圖 2.1 所示,在下圖中示出的是單色的 LED 點(diǎn)陣內(nèi)部外型規(guī)格和其電路結(jié)構(gòu)。2015 屆本科畢業(yè)論文(設(shè)計(jì))3圖 2.1 8×8 單色 LED 模塊內(nèi)部電路我們既可以用單塊的 LED 點(diǎn)陣顯示器來代替數(shù)碼管顯示數(shù)字,也可以利用單塊的LED 點(diǎn)陣顯示器顯示各種符號(hào)與文字。如顯示中西文可以用 5×8 點(diǎn)陣顯示器,顯示簡(jiǎn)單的中文文字可以用 8x8 點(diǎn)陣,顯示西文字母可以用 5x7 點(diǎn)陣顯示器。2.2 LED 動(dòng)態(tài)顯示原理在我們熟悉的 LED 點(diǎn)陣顯示系統(tǒng)中,各模塊的顯示方式主要分為兩種:1)靜態(tài)顯示方式:靜態(tài)顯示方式控制相對(duì)來講比較方便也比較簡(jiǎn)單,但實(shí)際應(yīng)用過程中硬件比較復(fù)雜,因此應(yīng)用不多。2)動(dòng)態(tài)顯示方式:由于靜態(tài)顯示方式的缺點(diǎn),而動(dòng)態(tài)顯示方式卻解決了這個(gè)問題,因此在實(shí)際應(yīng)用中應(yīng)用相對(duì)比較廣泛。其從上至下逐行地對(duì)顯示屏逐行進(jìn)行選通,另外在同一時(shí)刻又向各列送出列數(shù)據(jù)信號(hào),一直不斷循環(huán)這個(gè)過程,最后就能達(dá)到我們想要的結(jié)果。由于人眼的視覺暫留特點(diǎn),所以在陣式 LED 漢字廣告屏設(shè)計(jì)中應(yīng)用動(dòng)態(tài)掃描顯示方式比較多見。研究發(fā)現(xiàn),我們只要將連續(xù)的幾幀圖片播放到一定速度,人研究只能看到連續(xù)的圖片。電子領(lǐng)域 LED 電子顯示屏的應(yīng)用也是比較廣泛的。在圖 2.2 中,我們看到的是用動(dòng)態(tài)掃描顯示的方式顯示字符“B”的過程。其過程如下2015 屆本科畢業(yè)論文(設(shè)計(jì))4圖 2.2 用動(dòng)態(tài)掃描顯示字符“B”的過程2.3 LED 常見的控制方式通過 8 位鎖存器將 8 位總線上的列數(shù)據(jù)進(jìn)行鎖存顯示,各 8 位鎖存器的片選信號(hào)由譯碼器提供。這種控制方式缺點(diǎn)有兩個(gè):1)顯示單元的數(shù)目不能隨意擴(kuò)充。例如我們每增加一個(gè)點(diǎn)陣的漢字顯示單元(16*16),則需要兩根地址線,而此時(shí)地址線冗余量可能不足。2)由于不同單元 PCB 布線結(jié)構(gòu)的差異以及安放位置的不同,對(duì)于長(zhǎng)假的批量生產(chǎn)會(huì)造成很大的問題。多媒體化的到來,運(yùn)算能力、控制器傳輸速度等方面的要求也在一步步提高。從而控制器的種類也在不斷的增多,如 8051 單片機(jī)PIC 單片機(jī)FPGAARM 處理器,不同控制器由于性能和價(jià)格上的差異也分別用在不同的場(chǎng)合下面分別介紹不同控制器的 LED 顯示屏。一8051 單片機(jī)控制器。單片機(jī)往往會(huì)面臨著速度的限制問題,因此 LED 動(dòng)態(tài)顯示的刷新率我們就不可能做得太高。另外顯示效果也不會(huì)太好,常會(huì)出現(xiàn)閃爍的感覺。加上傳統(tǒng)單片機(jī)內(nèi)部資源匱乏,因此我們通常要加外設(shè),使得成本較高。其適用場(chǎng)合為不需要變動(dòng)顯示內(nèi)容且對(duì)速度要求不高的場(chǎng)合。二PIC 單片機(jī)控制器。與 8051 單片機(jī)相比較,其抗干擾能力比較好,處理指令的速度也比較快,種類更加多樣。改善了圖片顯示效果,降低了生產(chǎn)成本,降低了電路設(shè)計(jì)難度。因此,單色條屏市場(chǎng)的主流仍然是以 PIC 單片機(jī)為控制器的 LED 電子顯示屏。三復(fù)雜可編程邏輯門陣列控制器。復(fù)雜可編程邏輯門陣列以高速、并行著稱。是一種新興的可編程邏輯器件。效果明顯但成本較高,開發(fā)難度較大,因此一般應(yīng)用于大型場(chǎng)合。四ARM(32 位 RISC 架構(gòu)高性能微處理器)控制器。32 位 RISC 架構(gòu)高性能微處理器有著極高的時(shí)鐘頻率和指令效率。其內(nèi)部資源豐富運(yùn)算能力強(qiáng)大,硬件設(shè)計(jì)的難度較小,開發(fā)周期大大減小,一般應(yīng)用于大型彩屏。2015 屆本科畢業(yè)論文(設(shè)計(jì))52.4 本章小結(jié)本章主要闡明了 LED 電子顯示屏的一些相關(guān)的基本知識(shí)。文章重點(diǎn)描述了 LED 常見的控制方式、LED 點(diǎn)陣模塊結(jié)構(gòu)、 LED 動(dòng)態(tài)顯示原理。在系統(tǒng)流程介紹階段,通過與設(shè)計(jì)的內(nèi)容對(duì)比,說明了怎么合理的設(shè)計(jì)系統(tǒng)。3 系統(tǒng)的硬件設(shè)計(jì)3.1 硬件電路設(shè)計(jì)概述系統(tǒng)設(shè)計(jì)分為硬件、軟件設(shè)計(jì)兩方面,軟硬件設(shè)計(jì)兩者相互依存。系統(tǒng)中硬件設(shè)計(jì)的工作量在設(shè)計(jì)初始時(shí)較復(fù)雜,在設(shè)計(jì)后期還會(huì)根據(jù)系統(tǒng)需要做出修改與調(diào)整。但只要經(jīng)過充分的技術(shù)準(zhǔn)備,基本不會(huì)出現(xiàn)硬件設(shè)計(jì)再修改的情況。而軟件設(shè)計(jì)是始終要貫穿整個(gè)控制系統(tǒng),在系統(tǒng)設(shè)計(jì)的成熟期大部分為進(jìn)行相關(guān)的軟件設(shè)計(jì)。驅(qū)動(dòng)系統(tǒng)、控制系統(tǒng)和顯示器件共同組成 LED 電子顯示屏,在這里面核心是微處理器控制系統(tǒng)。行列驅(qū)動(dòng)電路、顯示點(diǎn)陣為屏體的主要組成部分。我們采用的是動(dòng)態(tài)掃描驅(qū)動(dòng)電路驅(qū)動(dòng) LED 電子顯示屏。眾多點(diǎn)陣模塊排列而成 LED 大屏幕。在本系統(tǒng)中,我們發(fā)現(xiàn)由于橫向點(diǎn)陣的列數(shù)比較多,如果我們?nèi)匀徊捎昧袙呙璺绞絹硭⑿曼c(diǎn)陣,將會(huì)遇到有兩點(diǎn)問題:1) 點(diǎn)陣亮度受影響,導(dǎo)致視覺效果不明顯;2) 屏幕的刷新頻率隨著列數(shù)的增加而一定程度的降低;為了解決上面的問題,我們通常采用行掃描的方式。它是從每行模塊的第一行、LED第二行 LED、第三行 LED順次到該行模塊的最后一行 LED,并且依次點(diǎn)亮模塊的每一行。作為一個(gè)單獨(dú)的顯示硬件,LED 顯示屏能夠?qū)⑼獠總鱽淼臄?shù)據(jù)轉(zhuǎn)換成圖像顯示出來。LED 電子顯示屏顯示過程是這樣的:單片機(jī)(控制器)接收 LED 屏數(shù)據(jù); 其次主控程序會(huì)將數(shù)據(jù)轉(zhuǎn)換成屏幕要顯示的圖形存儲(chǔ)到顯存之中;接著要按照掃描順序與屏幕對(duì)應(yīng)關(guān)系,會(huì)將顯存內(nèi)容轉(zhuǎn)換成掃描的內(nèi)容;最后將數(shù)據(jù)輸出到數(shù)據(jù)鎖存器并且掃描鎖存器中的數(shù)據(jù)刷新屏幕。3.2 電源電路設(shè)計(jì)LED 顯示屏的電源設(shè)計(jì)電路原理見圖 3-3 所示,電源由 USB 從微機(jī)中取出 5V 的電壓,節(jié)能。2015 屆本科畢業(yè)論文(設(shè)計(jì))6圖 3-3 電源設(shè)計(jì)電路3.3 復(fù)位電路設(shè)計(jì)實(shí)現(xiàn)復(fù)位操作,復(fù)位信號(hào) RST 對(duì)高電平有效,在輸入端只要能夠保持兩個(gè)機(jī)器周期的高電平后,就可以實(shí)現(xiàn)這一功能。復(fù)位的實(shí)現(xiàn)通常用 2 種方式:外部手動(dòng)復(fù)位和開機(jī)上電復(fù)位,本設(shè)計(jì)用的是開機(jī)復(fù)位加外部手動(dòng)復(fù)位,設(shè)計(jì)電路原理見圖 3-4 所示.圖 3-4 復(fù)位電路設(shè)計(jì)3.4 晶振電路設(shè)計(jì)51 單片機(jī)片內(nèi)部有一個(gè)由高增益反向放大器構(gòu)成的振蕩器。反向發(fā)達(dá)器的輸出端XTAL2,輸入 端 XTAL1,兩端跨接著兩個(gè)電容及石英晶體形成穩(wěn)定的自激振蕩器。設(shè)計(jì)電路原理見圖 3-4。2015 屆本科畢業(yè)論文(設(shè)計(jì))7圖 3-4 晶振電路設(shè)計(jì)3.5 顯示電路設(shè)計(jì)點(diǎn)陣是由 4 片 8*8 的點(diǎn)陣并聯(lián)組成的 16*16 點(diǎn)陣顯示屏,16 行分別由 51 單片機(jī)的 P1口與 P0 口來控制數(shù)據(jù)。其列由 51 單片機(jī)的 P2 口發(fā)出掃描信號(hào),再由兩塊 74HC595 來驅(qū)動(dòng),使其達(dá)到顯示漢字移動(dòng)的目的,設(shè)計(jì)電路原理見圖 3-5。2015 屆本科畢業(yè)論文(設(shè)計(jì))8圖 3-5 顯示電路設(shè)計(jì)單獨(dú)使用單片機(jī)的 I/O 口來驅(qū)動(dòng)點(diǎn)陣亮度遠(yuǎn)遠(yuǎn)是不夠的,本設(shè)計(jì)為了提高亮度在各列添加了 S8550 三極管,如圖:2015 屆本科畢業(yè)論文(設(shè)計(jì))93.6 本章小結(jié)本章主要闡明了基于單片機(jī)的 LED 電子顯示屏的硬件模塊設(shè)計(jì)步驟,以及模式化的設(shè)計(jì)方案。我們?cè)敿?xì)的分析了與控制系統(tǒng)的電路運(yùn)行相關(guān)的一些重要原理。在系統(tǒng)設(shè)計(jì)時(shí),通過向單片機(jī)錄入程序的方式,結(jié)合控制系統(tǒng)的電路來實(shí)現(xiàn)對(duì)系統(tǒng)的控制,設(shè)計(jì)出符合要求的模塊。4 系統(tǒng)軟件設(shè)計(jì)4.1 軟件任務(wù)分析我們?cè)谠O(shè)計(jì)控制系統(tǒng)時(shí),控制系統(tǒng)的硬件設(shè)計(jì)與軟件設(shè)計(jì)往往是同一時(shí)間進(jìn)行的。然后根據(jù)相互的特性分配各自要完成的功能設(shè)計(jì)。當(dāng)系統(tǒng)的硬件設(shè)計(jì)基本完成后,相關(guān)軟件設(shè)計(jì)也能基本確定了。系統(tǒng)的軟件設(shè)計(jì)的首要任務(wù)是對(duì)整個(gè)設(shè)計(jì)做一個(gè)整體規(guī)劃,常規(guī)的規(guī)劃方式是將軟件進(jìn)行區(qū)分類別。一種分為執(zhí)行軟件,它能對(duì)系統(tǒng)的需求做出操作執(zhí)行,如對(duì)信號(hào)的檢測(cè),數(shù)據(jù)的計(jì)算,數(shù)字的顯示以及輸入輸出控制等。另一種就是監(jiān)控軟件了,它能按照系統(tǒng)需求實(shí)現(xiàn)對(duì)各模塊的監(jiān)控,并將過程反饋給系統(tǒng)控制。系統(tǒng)中軟件進(jìn)行任務(wù)分析時(shí),通常可將執(zhí)行模塊從軟件里區(qū)分出來,并將對(duì)應(yīng)模塊進(jìn)行輸入輸出定義,而且需要提前將執(zhí)行模塊運(yùn)行工作時(shí)相關(guān)的數(shù)據(jù)類型和結(jié)構(gòu)同時(shí)規(guī)劃設(shè)計(jì)好。在規(guī)劃好控制系統(tǒng)的執(zhí)行模塊時(shí),可進(jìn)行監(jiān)控軟件的設(shè)定。首要任務(wù)是在滿足系統(tǒng)需要跟鍵盤位置的條件下設(shè)計(jì)出最優(yōu)結(jié)構(gòu)以實(shí)現(xiàn)對(duì)程序的監(jiān)控。一般來說監(jiān)控程序相對(duì)執(zhí)行模塊較復(fù)雜,且較難控制。4.2 程序設(shè)計(jì)通常在電子顯示屏控制系統(tǒng)中軟件程序包括的有如點(diǎn)陣顯示程序、單片機(jī)延時(shí)子程序等。點(diǎn)陣顯示程序的設(shè)計(jì)過程中,我們通常采用動(dòng)態(tài)掃描方式 ( 逐列輪流點(diǎn)亮 ) ,可以實(shí)現(xiàn)的是同列共同使用一套驅(qū)動(dòng)器?!熬唧w就我們常見的 16*16 點(diǎn)陣來說,發(fā)光管的陰極(同一列)連在一起,先送出對(duì)應(yīng)第一列發(fā)光管亮滅的相關(guān)的一些數(shù)據(jù),然后選再通第 1 列使其亮到一定的時(shí)間長(zhǎng)度,然后再熄滅掉, 如此再送出數(shù)據(jù)(第二行的),使其燃亮到相同的時(shí)間,然后熄滅”;在單片機(jī)編程過程中,延時(shí)子程序使用非常廣泛,生活領(lǐng)域中無處不在。單片機(jī)開發(fā)最重要的工作是程序設(shè)計(jì),而往往在設(shè)計(jì)的過程中需要完成延遲環(huán)節(jié)的設(shè)計(jì),可見其重要性。本次畢業(yè)設(shè)計(jì),我們?cè)O(shè)計(jì)用來掃描的延時(shí)子程序時(shí),一定要控制好每列的掃描時(shí)間。2015 屆本科畢業(yè)論文(設(shè)計(jì))10圖 4-1 程序流程設(shè)計(jì)圖 根據(jù)這些流程圖編寫出的最終源程序見附錄4.3 本章小結(jié)本章主要闡明了 LED 電子顯示屏控制系統(tǒng)的軟件設(shè)計(jì)流程,通過將設(shè)計(jì)的軟件程序錄入單片機(jī)內(nèi)部的方式來實(shí)現(xiàn)對(duì)顯示屏控制。5 系統(tǒng)測(cè)試模塊5.1 調(diào)試系統(tǒng)系統(tǒng)調(diào)試是系統(tǒng)設(shè)計(jì)的最后一環(huán),利用軟件與硬件的結(jié)合共同實(shí)現(xiàn)我們期望的結(jié)果,顯示的字體為“老師您辛苦了”,字體顯示的過程為從左向右單個(gè)字體流動(dòng)顯示,若在顯示過程中需要重新顯示這個(gè)只需要按復(fù)位鍵就可以重新顯示字體。同時(shí),若需要顯示其他字體,我們可以通過程序的改變來實(shí)現(xiàn)。下圖 5-1 為調(diào)試效果圖。2015 屆本科畢業(yè)論文(設(shè)計(jì))112015 屆本科畢業(yè)論文(設(shè)計(jì))122015 屆本科畢業(yè)論文(設(shè)計(jì))132015 屆本科畢業(yè)論文(設(shè)計(jì))142015 屆本科畢業(yè)論文(設(shè)計(jì))155.2 本章小結(jié)本章主要測(cè)試了 LED 電子顯示屏控制系統(tǒng)的實(shí)際效果,通過測(cè)試讓我體會(huì)了結(jié)果的喜悅,讓我對(duì)設(shè)計(jì)充滿興趣。2015 屆本科畢業(yè)論文(設(shè)計(jì))16結(jié)論與展望畢業(yè)設(shè)計(jì)終于告一段落。這象征著大學(xué)四年的結(jié)束和新的生活方式的開始。很慶幸我有過這么快樂而充實(shí)的四年。畢業(yè)設(shè)計(jì)這段時(shí)間,我感受到了工作的不易和努力的充實(shí)感。幾個(gè)月的畢業(yè)設(shè)計(jì)以來,經(jīng)常去網(wǎng)上或者圖書館查閱資料,經(jīng)常去實(shí)驗(yàn)室一坐就是一天,有時(shí)候連午飯都忘記吃。在這個(gè)過程中感覺到了苦。但是當(dāng)最后終于經(jīng)歷過了,完成了這個(gè)過程,我感覺到更多的是一種充實(shí)感和懷念感,懷念那些一群人在一起努力的感覺。當(dāng)然,有過努力就會(huì)有收獲和多多少少的遺憾。現(xiàn)將我所得到的和我感覺到的遺憾及不足寫下,作為自己這幾個(gè)月來的總結(jié)罷: (一)收獲 在畢業(yè)設(shè)計(jì)之前,雖然有過多次的課程設(shè)計(jì)和軟件設(shè)計(jì)大賽,但是從來沒有這么全身心的投入到自己的工作當(dāng)中。在畢業(yè)設(shè)計(jì)這段時(shí)間中,我學(xué)到了很多以前課堂上學(xué)過但是忘記了的和以前課堂上沒有講過的東西。忘了誰說過那么一句話,“知識(shí)都是死的,人是活的”。這幾個(gè)月我深切體會(huì)到了這句話的內(nèi)涵。本來覺得很簡(jiǎn)單的一個(gè)電路,檢查許多遍也感覺非常可行,但是當(dāng)付諸到實(shí)踐中,焊到板子上就會(huì)出現(xiàn)出乎意料的結(jié)果。整個(gè)設(shè)計(jì)過程我無時(shí)無刻不體會(huì)到動(dòng)手和動(dòng)腦的重要性,一些理論上的東西在實(shí)際中根本就不會(huì)那么容易的給你呈現(xiàn)出來,它需要在理論的基礎(chǔ)上加上自己的辦法。實(shí)踐出真知,這句話是對(duì)的。 (二)遺憾和不足 不細(xì)心的缺點(diǎn)使我在設(shè)計(jì)的過程中受盡了苦頭,此設(shè)計(jì)原理雖然簡(jiǎn)單,但是飛線比較繁瑣。正是因?yàn)橹皼]有認(rèn)認(rèn)真真了解芯片的各個(gè)引腳的作用,只是一味的去連接,導(dǎo)致后來出現(xiàn)了很多大面積的錯(cuò)誤,重新反工了好多次,浪費(fèi)了太多的時(shí)間;在設(shè)計(jì)中也出現(xiàn)了很多“虛焊”的地方,導(dǎo)致最后實(shí)物的結(jié)果不盡人意,最嚴(yán)重的一個(gè)錯(cuò)誤是剛開始沒有好好檢查,將 PNP 三極管誤用作 NPN 三極管,結(jié)果導(dǎo)致行線的驅(qū)動(dòng)全部接錯(cuò)。很慶幸最終我能意識(shí)到自己錯(cuò)誤出現(xiàn)在哪里,這也能讓我在以后類似的工作中多多少少有些經(jīng)驗(yàn)。2015 屆本科畢業(yè)論文(設(shè)計(jì))17致謝轉(zhuǎn)眼之間,大學(xué)四年的學(xué)習(xí)也將要結(jié)束了,緊張而又輕松的大學(xué)生活讓我懷念不已,感謝陪伴我一起走過的每一位親人、老師還有同學(xué)。積極奮進(jìn),充分活力的 11 級(jí)的電氣工程及其自動(dòng)化專業(yè)二班是一個(gè)有活力的集體。在這個(gè)集體之中,濃厚的學(xué)習(xí)氛圍,專業(yè)的教學(xué)團(tuán)隊(duì)是我們一步步成長(zhǎng)。借此機(jī)會(huì),我要衷心感謝我的導(dǎo)師陳老師對(duì)我的教誨、關(guān)心和幫助。敬愛的每一位老師都以治學(xué)嚴(yán)謹(jǐn),積極進(jìn)取的工作作風(fēng)以及平易近人的性格讓我們折服。更加需要感謝的是我的指導(dǎo)老師陳老師,她總是耐心的知道我們論文的一些細(xì)節(jié),對(duì)論文完成起了很大的作用,時(shí)刻督促我們及時(shí)完成論文,在此表示感謝!本論文是在導(dǎo)師陳老師的精心指導(dǎo)下完成的。在論文的研究過程中,陳老師和王老師在學(xué)習(xí)給予我熱情的關(guān)懷與幫助。在學(xué)習(xí)中,給我提供了一個(gè)寬松的學(xué)習(xí)環(huán)境,使我能充分發(fā)揮自己的思維和創(chuàng)造能力。從論文的選題開始到方案的制定以及后來論文的撰寫等方面,我們都得到了老師的非常熱心的幫助,對(duì)論文的完成至關(guān)重要。在論文的研究撰寫過程中,加深了我們對(duì)專業(yè)知識(shí)的理解,也磨礪了我們的意志,為我們將來的工作和生活打下了堅(jiān)實(shí)的基礎(chǔ)。要感謝與我們一同學(xué)習(xí)的每一位同學(xué),感謝他們對(duì)我的幫助,讓我感受到了集體的溫暖。同時(shí)要感謝電院的每一位老師,感謝他們對(duì)我們的關(guān)心和呵護(hù),傳授我們電氣專業(yè)相關(guān)知識(shí)的基礎(chǔ);然后還要感謝支持和顧我的每一位同學(xué),是他們給與了我鼓勵(lì)與力量。最后,在此我要由衷的感謝電子信息工程學(xué)院每一位老師多年來對(duì)我耐心的培養(yǎng),幫助我們能夠順利完成學(xué)業(yè),為未來走向社會(huì)打下基礎(chǔ)。謝謝你們的關(guān)心與呵護(hù),無私奉獻(xiàn)!作者:余松2015 年 1 月 11 日參考文獻(xiàn)1何單片機(jī)高級(jí)教程應(yīng)用與設(shè)計(jì)M.北京:北京航空航天大學(xué)出版社,2007.12胡漢才.單片機(jī)原理及接口技術(shù)M.北京:清華大學(xué)出版社,19993歐陽文.ATMEL89 系列單片機(jī)的原理與開發(fā)實(shí)踐M. 北京:中國(guó)電力出版社,2007.6 4高鵬等.Protel99 入門與提高M(jìn). 人民郵電出版社,2000.5潘永雄.新編單片機(jī)原理與應(yīng)用M.西安:西安電子科技大學(xué)出版社,20036樓然苗.51 系列單片機(jī)設(shè)計(jì)實(shí)例M.北京航空航天大學(xué)出版社 2003.037 AD590 temperature sensor and resolution of temperature sampling by A/D cardJ,20058Design And Implementation of Temperature sbit CLK=P20;sbit RCLK=P21; sbit DAT=P22;unsigned char code da32=0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, /字模 數(shù)據(jù)0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/0x04,0x08,0x44,0x08,0x44,0x04,0x44,0x04, 0x44,0x02,0x44,0x3E,0x7F,0x49,0xC4,0x48,0xC4,0x44,0x44,0x44,0x64,0x42,0x54,0x42,0x4E,0x40,0x44,0x70,0x04,0x00,0x00,0x00, /老 0x00,0x40,0xFC,0x27,0x00,0x10,0x00,0x0E,0xFF,0x01,0x00,0x00,0xF2,0x0F,0x12,0x00,0x12,0x00,0x12,0x00,0xFE,0xFF,0x12,0x00,0x12,0x04,0x12,0x08,0xF2,0x07,0x00,0x00,/師0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x20,0x00,0x1C,0x00,0x0C,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/,0x80,0x00,0x40,0x20,0x30,0x38,0xFC,0x03,0x03,0x38,0x90,0x40,0x68,0x40,0x06,0x49,0x04,0x52,0xF4,0x41,0x04,0x40,0x24,0x70,0x44,0x00,0x8C,0x09,0x04,0x30,0x00,0x00, /您0x80,0x00,0x84,0x04,0x84,0x04,0x94,0x04,0xA4,0x04,0xC4,0x04,0x85,0x04,0x86,0xFF,0x84,0x04,0xC4,0x04,0xA4,0x04,0x94,0x04,0x84,0x04,0x84,0x00,0x80,0x00,0x00,0x00,/辛0x00,0x00,0x40,0x00,0x44,0x00,0x44,0x00,0x44,0x7E,0x5F,0x22,0x44,0x22,0x44,0x22,0xF4,0x23,0x44,0x22,0x44,0x22,0x5F,0x22,0x44,0x7E,0x44,0x00,0x44,0x00,0x40,0x00,/苦0x00,0x00,0x00,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x40,0x02,0x80,0xF2,0x7F,0x12,0x00,0x0A,0x00,0x0A,0x00,0x06,0x00,0x06,0x00,0x02,0x00,0x00,0x00,/了0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,2015 屆本科畢業(yè)論文(設(shè)計(jì))240x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00/清屏;void delay (unsigned char t) /延時(shí)程序 40MS 左右char k;for(;t0;t-)for(k=20;k0;k-); void weyi(void) /位移時(shí)鐘CLK=0;nop(); nop(); nop(); nop(); nop(); nop(); nop();CLK=1;nop(); nop(); nop(); nop(); nop(); nop(); nop();void out595(void) /鎖存輸出RCLK=1;nop(); nop(); nop(); nop();RCLK=0; void disp(unsigned char *ff,unsigned char n,unsigned char time) /ff 指針指向字模 顯示 n 個(gè)字 time 控制字的流速unsigned char num,i,j,u;for (num=0;num0;j-) /控制流動(dòng)速度 DAT=0; for(u=0;u16;u+) /控制顯示數(shù)據(jù) for(u=0;u16;u+)weyi();out595();DAT=1; P1=*(ff+num*32+(i+u)*2); /顯示上半部分P0=*(ff+num*32+(i+u)*2)+1); /顯示下半部分delay(25); void main() /主程序while(1) /無限循環(huán)2015 屆本科畢業(yè)論文(設(shè)計(jì))25disp(da0,9,14); /調(diào)用 void disp 函數(shù) 作用參上

注意事項(xiàng)

本文(LED電子顯示屏設(shè)計(jì)論文說明書)為本站會(huì)員(機(jī)械****計(jì))主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!