九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

東南大學(xué)數(shù)字電路實(shí)驗(yàn)報(bào)告(五).doc

  • 資源ID:6525684       資源大?。?span id="24d9guoke414" class="font-tahoma">408.93KB        全文頁(yè)數(shù):6頁(yè)
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說(shuō)明有答案則都視為沒有答案,請(qǐng)知曉。

東南大學(xué)數(shù)字電路實(shí)驗(yàn)報(bào)告(五).doc

東南大學(xué)電工電子實(shí)驗(yàn)中心實(shí) 驗(yàn) 報(bào) 告課程名稱: 數(shù)字邏輯電路實(shí)驗(yàn) 第 五 次實(shí)驗(yàn)實(shí)驗(yàn)名稱: 時(shí)序邏輯電路設(shè)計(jì) 院 (系): 電氣工程 專 業(yè):電氣工程及自動(dòng)化 姓 名: 學(xué) 號(hào): 實(shí) 驗(yàn) 室: 104 實(shí)驗(yàn)時(shí)間:2013年12月13日 評(píng)定成績(jī): 審閱教師: 一、 實(shí)驗(yàn)?zāi)康?. 掌握時(shí)序邏輯電路的一般設(shè)計(jì)過(guò)程;2. 掌握時(shí)序邏輯電路的時(shí)延分析方法,了解時(shí)序電路對(duì)時(shí)鐘信號(hào)相關(guān)參數(shù)的基本要求;3. 掌握時(shí)序邏輯電路的基本調(diào)試方法;4. 熟練使用示波器和邏輯分析儀觀察波形圖,并會(huì)使用邏輯分析儀做狀態(tài)分析。二、 實(shí)驗(yàn)原理1. 時(shí)序邏輯電路的特點(diǎn)(與組合電路的區(qū)別):具有記憶功能,任一時(shí)刻的輸出信號(hào)不僅取決于當(dāng)時(shí)的輸出信號(hào),而且還取決于電路原來(lái)的值,或者說(shuō)還與以前的輸入有關(guān)。2. 時(shí)序邏輯電路的基本單元觸發(fā)器(本實(shí)驗(yàn)中只用到D觸發(fā)器)觸發(fā)器實(shí)現(xiàn)狀態(tài)機(jī)(流水燈中用到)3. 時(shí)序電路中的時(shí)鐘1) 同步和異步(一般都是同步,但實(shí)現(xiàn)一些任意模的計(jì)數(shù)器時(shí)要異步控制時(shí)鐘端)2) 時(shí)鐘產(chǎn)生電路(電容的充放電):在內(nèi)容3中的32768Hz的方波信號(hào)需要自己通過(guò)電路產(chǎn)生,就是用到此原理。4. 常用時(shí)序功能塊1) 計(jì)數(shù)器(74161)a) 任意進(jìn)制的同步計(jì)數(shù)器:異步清零;同步置零;同步置數(shù);級(jí)聯(lián)b) 序列發(fā)生器通過(guò)與組合邏輯電路配合實(shí)現(xiàn)(計(jì)數(shù)器不必考慮自啟動(dòng))2) 移位寄存器(74194)a) 計(jì)數(shù)器(一定注意能否自啟動(dòng))b) 序列發(fā)生器(還是要注意分析能否自啟動(dòng))三、 實(shí)驗(yàn)內(nèi)容1. 廣告流水燈a. 實(shí)驗(yàn)要求用觸發(fā)器、組合函數(shù)器件和門電路設(shè)計(jì)一個(gè)廣告流水燈,該流水等由8個(gè)LED組成,工作時(shí)始終為1暗7亮,且這一個(gè)暗燈循環(huán)右移。 寫出設(shè)計(jì)過(guò)程,畫出設(shè)計(jì)的邏輯電路圖,按圖搭接電路。 將單脈沖加到系統(tǒng)時(shí)鐘端,靜態(tài)驗(yàn)證實(shí)驗(yàn)電路。 將TTL連續(xù)脈沖信號(hào)加到系統(tǒng)時(shí)鐘端,用示波器和邏輯分析儀觀察并記錄時(shí)鐘脈沖CLK、觸發(fā)器的輸出端Q2、Q1、Q0和8個(gè)LED上的波形。b 實(shí)驗(yàn)數(shù)據(jù) 設(shè)計(jì)電路。1) 問(wèn)題分析流水燈的1暗7亮對(duì)應(yīng)8個(gè)狀態(tài),故可采用3個(gè)觸發(fā)器實(shí)現(xiàn);而且題目要求輸出8個(gè)信號(hào)控制8個(gè)燈的亮暗,故可以把3個(gè)觸發(fā)器的輸出加到3-8譯碼器的控制端,對(duì)應(yīng)的8個(gè)譯碼器輸出端信號(hào)控制8個(gè)燈的亮暗。2) 狀態(tài)轉(zhuǎn)化圖如下:卡諾圖如下:Q1Q0Q2 0001111000010101000111101110000111電路圖如下:經(jīng)實(shí)驗(yàn)論證,可實(shí)現(xiàn)功能。邏輯分析如下:2. 序列發(fā)生器實(shí)驗(yàn)要求用觸發(fā)器設(shè)計(jì)一個(gè)具有自啟動(dòng)功能的01011序列發(fā)生器。1) 寫出設(shè)計(jì)過(guò)程,畫出設(shè)計(jì)的邏輯電路圖。AnBnCnDnAn+1B n+1C n+1D n+10101101110110110011011011101101010100101用Multisim進(jìn)行化簡(jiǎn)處理,得:An+1=Bn;Bn+1=Cn;Cn+1=Dn Dn+1=An+Dn=(An+Dn)電路設(shè)計(jì)如下:波形圖如下: 0101 1 0 1 0 1 13. 智力競(jìng)賽搶答器設(shè)計(jì)圖如下:經(jīng)實(shí)驗(yàn)論證,可實(shí)現(xiàn)所有功能。邏輯圖如下表:S1S2S3CLed1Led2Led30000000XXX1000100010001000100010001-+

注意事項(xiàng)

本文(東南大學(xué)數(shù)字電路實(shí)驗(yàn)報(bào)告(五).doc)為本站會(huì)員(w****2)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!