九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)電課程設(shè)計(jì) 八路搶答器.doc

  • 資源ID:6663727       資源大小:365.50KB        全文頁(yè)數(shù):17頁(yè)
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說(shuō)明有答案則都視為沒有答案,請(qǐng)知曉。

數(shù)電課程設(shè)計(jì) 八路搶答器.doc

數(shù)字電子技術(shù)課程設(shè)計(jì)報(bào)告八路智力競(jìng)賽搶答器的設(shè)計(jì) 專 業(yè): 電子信息科學(xué)與技術(shù) 班 級(jí): 2012級(jí)1班 姓 名: 學(xué) 號(hào): 指導(dǎo)老師: 電子通信與物理學(xué)院日 期: 2015 年 1 月 10 日指導(dǎo)教師評(píng)語(yǔ)1設(shè)計(jì)要求在當(dāng)代社會(huì)中企業(yè)、學(xué)校和電視臺(tái)等單位常舉辦各種智力競(jìng)賽,搶答記分器是必要設(shè)備。過(guò)去在舉行的各種競(jìng)賽中我們經(jīng)??吹接袚尨鸬沫h(huán)節(jié),舉辦方多數(shù)采用讓選手通過(guò)舉答題板的方法判斷選手的答題權(quán),這在某種程度上會(huì)因?yàn)橹鞒秩说闹饔^誤斷造成比賽的不公平性。人們于是開始尋求一種能不依人的主觀意愿來(lái)判斷的設(shè)備來(lái)規(guī)范比賽。因此,為了克服這種現(xiàn)象的慣性發(fā)生人們利用各種資源和條件設(shè)計(jì)出很多的搶答器,從最初的簡(jiǎn)單搶答按鈕,到后來(lái)的顯示選手號(hào)的搶答器,再到現(xiàn)在的數(shù)顯搶答器,其功能在一天天的趨于完善不但可以用來(lái)倒計(jì)時(shí)搶答,還兼具報(bào)警等等功能,有了這些更準(zhǔn)確地儀器使得我們的競(jìng)賽變得更加精彩紛呈,也使比賽更突顯其公平公正的原則。在這一背景下本文利用74LS系列芯片設(shè)計(jì)了一種有效、便捷的八路數(shù)字搶答器。設(shè)計(jì)要求如下:利用數(shù)字電路設(shè)計(jì)一個(gè)八路搶答器,允許八路參加,并具有鎖定功能,用LED顯示最先搶答的隊(duì)號(hào)碼,系統(tǒng)設(shè)置外部清除鍵,按動(dòng)清除鍵,LED顯示器自動(dòng)清零滅燈。數(shù)字顯示功能:數(shù)字搶答器定時(shí)為30S,啟動(dòng)開啟鍵以后要求)定時(shí)開始;)揚(yáng)聲器要短暫報(bào)警;)發(fā)光二極管亮燈;如果在30S內(nèi)搶答有效,計(jì)時(shí)結(jié)束,30S內(nèi)搶答無(wú)效,發(fā)光二極管燈滅。2 設(shè)計(jì)任務(wù)本次描述的八路搶答器功能指標(biāo)為:設(shè)計(jì)一個(gè)能支持八路搶答的智力競(jìng)賽搶答器;主持人按下開始搶答的按鍵后,有短暫的報(bào)警聲提示搶答人員搶答開始且指示燈亮表示搶答進(jìn)行中;在開始搶答后數(shù)碼管顯示30秒倒計(jì)時(shí);有搶答人員按下?lián)尨疰I后,在數(shù)碼管上顯示搶答成功人員的編號(hào),倒計(jì)時(shí)暫停,同時(shí)后續(xù)搶答人員的搶答將無(wú)效;當(dāng)主持人再次按下按鍵回到復(fù)位狀態(tài),倒計(jì)時(shí)的數(shù)碼管保持顯示30,顯示人員編號(hào)的數(shù)碼管滅,指示燈滅。本次設(shè)計(jì)的電路由包括搶答電路、定時(shí)電路、報(bào)警電路在內(nèi)的三部分電路組成。搶答電路由按鍵、鎖存器、優(yōu)先編碼器、數(shù)碼管譯碼驅(qū)動(dòng)器等器件組成;定時(shí)電路由555定時(shí)器、計(jì)數(shù)器、鎖存器、數(shù)碼管譯碼驅(qū)動(dòng)器、開關(guān)等器件組成;報(bào)警電路由蜂鳴器、單穩(wěn)態(tài)脈沖觸發(fā)芯片等器件組成。3 總體設(shè)計(jì)3.1原理分析3.1.1工作原理簡(jiǎn)介如圖2.1所示為搶答器的結(jié)構(gòu)框圖,它由搶答電路、計(jì)時(shí)電路和報(bào)警電路三部分組成。搶答電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動(dòng)搶答鍵時(shí),能顯示選手的編號(hào),同時(shí)能封鎖輸入電路,禁止其他選手搶答。計(jì)時(shí)電路完成計(jì)時(shí)功能。其工作原理為,當(dāng)開始搶答的開關(guān)沒有閉合時(shí),搶答器處于禁止?fàn)顟B(tài),編號(hào)顯示器滅燈,定時(shí)器顯示設(shè)定時(shí)間;主持人將開關(guān)置于"開始搶答"狀態(tài),宣布"開始"搶答。定時(shí)器倒計(jì)時(shí),揚(yáng)聲器給出聲響提示。選手在定時(shí)時(shí)間內(nèi)搶答時(shí),搶答器完成:優(yōu)先判斷、編號(hào)鎖存、編號(hào)顯示。當(dāng)一輪搶答之后,定時(shí)器停止、禁止二次搶答、定時(shí)器顯示剩余時(shí)間。如果再次搶答只須主持人將開關(guān)斷開后閉合。3.1.2搶答器工作過(guò)程主持人開關(guān)撥到“開始搶答”狀態(tài),會(huì)有提示音,并立刻進(jìn)入搶答倒計(jì)時(shí)(預(yù)設(shè)30s搶答時(shí)間),如有選手搶答,顯示其號(hào)數(shù)并停止倒計(jì)時(shí),只有第一個(gè)按搶答的選手有效。如倒計(jì)時(shí)期間,主持人想停止倒計(jì)時(shí)可以隨時(shí)將開關(guān)撥到“準(zhǔn)備”狀態(tài),系統(tǒng)會(huì)自動(dòng)進(jìn)入準(zhǔn)備狀態(tài),等待主持人撥回"開始搶答"進(jìn)入下次搶答計(jì)時(shí)。如果主持人將開關(guān)撥到“開始搶答”狀態(tài),而此時(shí)有人按了搶答按鍵則搶答無(wú)效,不會(huì)顯示其號(hào)碼。3.1.3主要元器件功能介紹 (1)8D鎖存器74LS37374LS373為三態(tài)輸出的8 D鎖存器。引腳說(shuō)明:D0D7 數(shù)據(jù)輸入端,OE 三態(tài)允許控制端(低電平有效),Q0Q7 輸出端。當(dāng)三態(tài)允許控制端 OE 為低電平時(shí),Q0Q7為正常邏輯狀態(tài),可用來(lái)驅(qū)動(dòng)負(fù)載或總線。當(dāng) OE 為高電平時(shí),Q0Q7 呈高阻態(tài),即不驅(qū)動(dòng)總線,也不為總線的負(fù)載,但鎖存器內(nèi)部的邏輯操作不受影響。當(dāng)鎖存允許端 LE 為高電平時(shí),Q 隨數(shù)據(jù) D 而變。當(dāng) LE 為低電平時(shí),D 被鎖存在已建立的數(shù)據(jù)電平。 (2)優(yōu)先編碼器74LS14874LS148 為 8 線3 線優(yōu)先編碼器。將 8 條數(shù)據(jù)線(07)進(jìn)行 3 線(4-2-1)二進(jìn)制(八進(jìn)制)優(yōu)先編碼,即對(duì)最高位數(shù)據(jù)線進(jìn)行譯碼。芯片管腳:07 編碼輸入端(低電平有效),EI 選通輸入端(低電平有效),A0、A1、A2 三位二進(jìn)制編碼輸出信號(hào)即編碼 輸 出 端(低電平有效),GS 片優(yōu)先編碼輸出端即寬展端(低電平有效),EO 選通輸出端,即使能輸出端。(3)數(shù)碼管譯碼器驅(qū)動(dòng)器74LS4874LS48芯片是一種常用的七段數(shù)碼管譯碼器驅(qū)動(dòng)器。引腳:A0A3為譯碼地址輸入端,BI/RBO為消隱輸入(低電平有效)/脈沖消隱輸出(低電平有效)LT為燈測(cè)試輸入端(低電平有效),RBI脈沖消隱輸入端(低電平有效),YaYg段輸出。當(dāng)A0-A3輸入0到15時(shí),段輸出驅(qū)動(dòng)數(shù)碼管顯示響應(yīng)的數(shù)字。(4)74LS192十進(jìn)制可逆計(jì)數(shù)器74LS192是雙時(shí)鐘方式的十進(jìn)制可逆計(jì)數(shù)器。CPU為加計(jì)數(shù)時(shí)鐘輸入端,CPD為減計(jì)數(shù)時(shí)鐘輸入端。 LD為預(yù)置輸入控制端,異步預(yù)置。CR為復(fù)位輸入端,高電平有效,異步清除。CO為進(jìn)位輸出:1001狀態(tài)后負(fù)脈沖輸出, BO為借位輸出:0000狀態(tài)后負(fù)脈沖輸出。3.2電路設(shè)計(jì)3.2.1搶答電路設(shè)計(jì)搶答電路的功能有兩個(gè):一是能分辨出選手按鍵的的先后,并鎖存優(yōu)先搶答者的編號(hào),供譯碼顯示電路用;二是要使其他選手的按鍵操作無(wú)效。選用優(yōu)先編碼74LS148和8D鎖存器74LS373可以完成上述功能,其電路組成如圖2.2所示。其工作原理是:當(dāng)主持人控制開關(guān)S9處于斷開狀態(tài)時(shí),74LS373的鎖存控制端為高電平不鎖存,Q隨數(shù)據(jù)D而變。此時(shí)8輸入與非門74LS30的輸出為低電平。同時(shí)由于開關(guān)S9斷開,數(shù)碼管的共陰極為高電平,這樣就不會(huì)在未搶答狀態(tài)下顯示號(hào)碼了。而此時(shí)74148的輸入為八個(gè)高電平輸出為三個(gè)高電平如果不加控制經(jīng)7448譯碼輸出后會(huì)在數(shù)碼管上顯示數(shù)字7。這里把8輸入與非門74ls30的輸出接到7448的滅燈控制端BI/RBO,抑制數(shù)碼管顯示。當(dāng)開關(guān)S9被閉合時(shí),進(jìn)入搶答狀態(tài)。這時(shí)雖然開關(guān)S9閉合使或門74S32的一個(gè)輸入端為0,但由于8輸入與非門的輸出仍為0所以74LS373的鎖存控制端仍為1,不會(huì)阻止數(shù)據(jù)的通過(guò)。當(dāng)?shù)谝粋€(gè)按鍵按下時(shí),74LS30的輸出變?yōu)楦唠娖剑瑫r(shí)由于開關(guān)S9以閉合使得或門74S32的兩個(gè)輸入都為低電平,則鎖存器373的鎖存控制端ENG變?yōu)榈碗娖剑i存此時(shí)的Q值。理論上該值只有一個(gè)為低電平,其余為高電平,為低電平的那個(gè)即為搶答的成功的那個(gè)。這時(shí)對(duì)74148來(lái)說(shuō)就有了有效輸入(某個(gè)輸入端變?yōu)?),優(yōu)先編碼得到一個(gè)數(shù)字作為7448的輸入在數(shù)碼管上顯示出來(lái)。這樣就完成了從搶答到顯示的工作。 3.2.2定時(shí)電路設(shè)計(jì)定時(shí)電路主要實(shí)現(xiàn)30秒倒計(jì)時(shí)的功能。該部分主要由555定時(shí)器秒脈沖產(chǎn)生電路、十進(jìn)制同步加減計(jì)數(shù)器74LS19構(gòu)成的減法計(jì)數(shù)電路、74LS4譯碼電路和2個(gè)7段數(shù)碼管即相關(guān)電路組成。完成的功能是當(dāng)主持人按下開始搶答按鈕后,進(jìn)行30s倒計(jì)時(shí)。當(dāng)有人搶答時(shí),計(jì)時(shí)停止。兩塊74LS192實(shí)現(xiàn)減法計(jì)數(shù),通過(guò)譯碼電路74LS48顯示到數(shù)碼管上,其時(shí)鐘信號(hào)由時(shí)鐘產(chǎn)生電路提供。74LS192的預(yù)置數(shù)控制端實(shí)現(xiàn)預(yù)置數(shù)30s,計(jì)數(shù)器的時(shí)鐘脈沖由秒脈沖電路提供。按鍵彈起后,計(jì)數(shù)器開始減法計(jì)數(shù)工作,并將時(shí)間顯示在共陰極七段數(shù)碼顯示管上。當(dāng)有人搶答時(shí),停止計(jì)數(shù)并顯示此時(shí)的倒計(jì)時(shí)時(shí)間;如果沒有人搶答,且倒計(jì)時(shí)時(shí)間到時(shí),也停止計(jì)時(shí)。其中555定時(shí)器和兩個(gè)電阻、兩個(gè)電容構(gòu)成多諧振蕩器產(chǎn)生周期為一秒的脈沖接到74LS192的減計(jì)數(shù)端進(jìn)行每秒一次的減計(jì)數(shù)。其震蕩周期的計(jì)算公式為。在電路中取 得震蕩周期為T=(30k+27K)*470nF=1S。兩片74LS192構(gòu)成30進(jìn)制減計(jì)數(shù)器。當(dāng)收到來(lái)自555定時(shí)器的脈沖時(shí),控制個(gè)位的74LS192就會(huì)減計(jì)數(shù),當(dāng)它減到0再收到脈沖要繼續(xù)減的時(shí)候,其借位輸出端輸出正脈沖使十位的74LS192減一計(jì)數(shù)。開關(guān)的作用是在開關(guān)閉合時(shí)進(jìn)行預(yù)置數(shù),把兩片74LS192分別預(yù)置位3和0。74LS373的作用這里沒有體現(xiàn)出來(lái),它是在總的電路中用到的。它用于在成功搶答后鎖存數(shù)碼管上顯示的時(shí)間。74LS48是數(shù)碼管譯碼驅(qū)動(dòng)器。用于將573中鎖存的數(shù)字顯示出來(lái)。這里的數(shù)碼管是共陰極數(shù)碼管,其共陰極直接接地沒有像搶答電路中那樣加入按鍵控制。圖2.3 定時(shí)電路3.2.3報(bào)警電路設(shè)計(jì)報(bào)警電路的作用是當(dāng)開始搶答時(shí)進(jìn)行短暫的報(bào)警提醒。由于要求是短暫的報(bào)警提醒,所以要設(shè)計(jì)一種可以產(chǎn)生單脈沖的單穩(wěn)態(tài)電路,使其在某個(gè)信號(hào)刺激下產(chǎn)生單脈沖使有源蜂鳴器發(fā)聲一段時(shí)間后停止發(fā)聲。本次設(shè)計(jì)采用了一個(gè)集成的單穩(wěn)態(tài)芯片構(gòu)成單穩(wěn)態(tài)電路。當(dāng)有脈沖到達(dá)時(shí)單穩(wěn)態(tài)觸發(fā)器進(jìn)入暫穩(wěn)態(tài),輸出高電平使蜂鳴器響進(jìn)行發(fā)聲報(bào)警。在暫穩(wěn)態(tài)維持一段時(shí)間后,自動(dòng)返回穩(wěn)態(tài)回到低電平,蜂鳴器停止發(fā)聲。將單穩(wěn)態(tài)觸發(fā)器的脈沖輸入接到開關(guān)上,當(dāng)開關(guān)閉合時(shí)產(chǎn)生一個(gè)脈沖使蜂鳴器發(fā)聲。電路有TTL集成單穩(wěn)態(tài)觸發(fā)器構(gòu)成。輸出脈沖寬度公式為。這里R取30千歐姆,C取10微法。得到約為0.2秒。當(dāng)輸入下降沿時(shí)蜂鳴器就會(huì)響0.2秒。 3.2.4總電路設(shè)計(jì)把搶答電路、計(jì)時(shí)電路、報(bào)警電路三部分組合起來(lái)再加上一些控制電路就構(gòu)成了總電路。如圖2.5所示。當(dāng)有人搶答成功時(shí),八輸入與非門輸出為1而U10非門7404輸出1,則與非門U9B輸出0使計(jì)時(shí)電路中的74LS373鎖存此時(shí)的時(shí)間,數(shù)碼管時(shí)間不再變化,直到斷開開關(guān)準(zhǔn)備進(jìn)行下一輪搶答。開始搶答開關(guān)同時(shí)連接控制著一個(gè)指示燈,當(dāng)開始搶答時(shí)指示燈會(huì)亮;開關(guān)也連接了一個(gè)由單穩(wěn)態(tài)觸發(fā)器構(gòu)成的警報(bào)電路,開關(guān)閉合后會(huì)有一個(gè)脈沖送到單穩(wěn)態(tài)觸發(fā)器使其發(fā)出一個(gè)單穩(wěn)態(tài)脈沖驅(qū)動(dòng)蜂鳴器短暫鳴響提醒搶答人員搶答開始。當(dāng)脈沖結(jié)束后蜂鳴器停止鳴響。當(dāng)搶答結(jié)束要進(jìn)行下一次搶答前開關(guān)再次斷開,這是會(huì)同時(shí)使指示燈滅,顯示號(hào)碼的數(shù)碼管滅,顯示倒計(jì)時(shí)的兩個(gè)數(shù)碼管恢復(fù)顯示30。如此循環(huán)往復(fù),構(gòu)成整個(gè)搶答過(guò)程??偟碾娐穲D見圖2.5 總電路圖4仿真調(diào)試4.1搶答電路仿真仿真結(jié)果:當(dāng)“開始搶答”按鍵S9沒有閉合時(shí),按下S0到S9進(jìn)行搶答沒有效果。當(dāng)S9閉合時(shí),數(shù)碼管顯示S0到S9中最先按下的按鍵號(hào)。如圖2.6最先按下了S5顯示5。后按下的鍵不會(huì)改變顯示的數(shù)字?!伴_始搶答”按鍵S9斷開后,數(shù)碼管滅。 圖2.6 搶答電路仿真圖(局部)4.2計(jì)時(shí)電路仿真仿真結(jié)果:當(dāng)“計(jì)時(shí)開始”開關(guān)斷開時(shí),數(shù)碼管顯示“30”。當(dāng)開關(guān)閉合時(shí)開始在數(shù)碼管上倒計(jì)時(shí)顯示。當(dāng)?shù)褂?jì)時(shí)到0時(shí)停止倒計(jì)時(shí),直到斷開開關(guān)數(shù)碼管恢復(fù)顯示30。如圖為倒計(jì)時(shí)到17秒時(shí)的效果。圖2.7 計(jì)時(shí)電路仿真圖(局部)4.3總電路仿真仿真結(jié)果:當(dāng)“開始搶答”開關(guān)斷開時(shí),計(jì)時(shí)數(shù)碼管顯示30,不顯示號(hào)碼,按下?lián)尨鸢存I也沒有反應(yīng)。當(dāng)“開始搶答”開關(guān)閉合時(shí),開始30秒倒計(jì)時(shí),蜂鳴器發(fā)聲0.2秒,LED燈亮。在倒計(jì)時(shí)結(jié)束前如果如果有“搶答按鍵”按下則顯示響應(yīng)的號(hào)碼,如圖中顯示的2號(hào)搶答成功。當(dāng)30秒計(jì)時(shí)結(jié)束時(shí),LED燈滅。圖2.8 總電路仿真圖(局部)5總結(jié)與體會(huì)回顧起此次課程設(shè)計(jì),有很多體會(huì)。從選題到定稿,從理論到實(shí)踐,在短短一個(gè)星期的時(shí)間里,遇到了很多困難,但也有很大的收獲。通過(guò)這次課程設(shè)計(jì)不僅鞏固了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書本上沒有的知識(shí)。課程設(shè)計(jì)讓我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),才能有所成果。在設(shè)計(jì)的過(guò)程中也發(fā)現(xiàn)了自己很多不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固,比如說(shuō)對(duì)555定時(shí)器的原理掌握不扎實(shí)、對(duì)Multisim的一些重要使用方式不太了解等。本次電子課程設(shè)計(jì)實(shí)習(xí)將理論與實(shí)踐有機(jī)地結(jié)合,既考查了對(duì)理論知識(shí)的掌握程度,又考查了對(duì)知識(shí)的綜合運(yùn)用以及創(chuàng)新設(shè)計(jì)思維能力,為今后的發(fā)展提供了一次良好的學(xué)習(xí)環(huán)境。針對(duì)課設(shè)題目八路搶答器,一開始還沒有頭緒,不會(huì)運(yùn)用所學(xué)知識(shí)進(jìn)行有效設(shè)計(jì),但通過(guò)上網(wǎng)查閱各種類似的設(shè)計(jì),去圖書館翻閱相關(guān)設(shè)計(jì)書籍,查閱所提供的芯片功能,確定基本設(shè)計(jì)方案,又通過(guò)仿真驗(yàn)證試驗(yàn)方案的可實(shí)行性,雖說(shuō)比較煩雜但卻對(duì)設(shè)計(jì)一個(gè)電路有了基本的經(jīng)驗(yàn)。通過(guò)這次課程設(shè)計(jì),加強(qiáng)了我們動(dòng)手、思考和解決問(wèn)題的能力。在設(shè)計(jì)過(guò)程中,經(jīng)常會(huì)遇到這樣那樣的情況,就是心里想老著這樣的接法可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了,因此耗費(fèi)在這上面的時(shí)間用去很多。做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)元件的功能,而且考試內(nèi)容有限,所以在這次課程設(shè)計(jì)過(guò)程中,我們了解了很多元件的功能,并且對(duì)于其在電路中的使用有了更多的認(rèn)識(shí)。參考文獻(xiàn): 閻石. 數(shù)字電子技術(shù)基礎(chǔ). 第五版. 北京:高等教育出版社,2006.

注意事項(xiàng)

本文(數(shù)電課程設(shè)計(jì) 八路搶答器.doc)為本站會(huì)員(xin****828)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!