九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

電子設計大賽點陣電子顯示屏資料.doc

  • 資源ID:6692002       資源大?。?span id="24d9guoke414" class="font-tahoma">620KB        全文頁數(shù):19頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

電子設計大賽點陣電子顯示屏資料.doc

目 錄第一部分 摘要 .3第二部分1.設計任務.41.1基本要求.41.2發(fā)揮部分.41.3創(chuàng)新部分.42方案論證與比較52.1 顯示部分.52.2 數(shù)字時鐘.52.3 溫度采集部分.62.4 芯片選擇.62.5 鬧鈴方式的選擇.62.6 止鬧方式的選擇.62.7 串口通訊芯片的選擇.63. 總體方案.73.1 工作原理.73.2 總體設計.74系統(tǒng)硬件設計74.1 AT89S52單片機最小系統(tǒng).84.2 溫度測量模塊.84.3 時鐘模塊.84.4 鍵盤模塊.94.5 LED點陣顯示模塊.104.6 電源的選擇.114.7 PC機通訊124.8 整體電路.125系統(tǒng)軟件設計.75.1 主程序流程.135.2 掃描子程序.145.3 時間程序.155.4 PC機串口通訊程序155.5 亮度調節(jié)程序165.6 溫度程序.176測試與結果分析.176.1 基本部分測試與分析.176.2 發(fā)揮部分測試與分析.186.3 創(chuàng)新部分測試與分析.187設計總結.188參考資料.18附錄18例程.18摘要 LED大屏幕顯示系統(tǒng),以AT89S52單片機為核心,由鍵盤顯示、錄放音模塊、光電開關、溫度采集、定時鬧鈴、LED大屏幕顯示等功能模塊組成?;陬}目基本要求,本系統(tǒng)對時間顯示和大屏幕顯示進行了重點設計。此外,擴展單片機外圍接口、溫度采集、非接觸式止鬧、滾動屏幕顯示、語音報時等功能。本系統(tǒng)大部分功能由軟件來實現(xiàn),吸收了硬件軟件化的思想,大部分功能通過軟件來實現(xiàn),使電路簡單明了,系統(tǒng)穩(wěn)定性大大提高。本系統(tǒng)不僅成功的實現(xiàn)了要求的基本功能,發(fā)揮部分也得到完全的實現(xiàn),而且有一定的創(chuàng)新功能。 關鍵字:單片機 LED大屏幕 滾屏顯示 Abstract This large LED display screen system ,based on chip microcomputer AT89S52,is composed by the following functional modules : keyboard displaying ,sound phonating. photoelectricity untouched switch,temperature gathering ,timing bell, According to the basic requirements of the subject ,our system stresses on the realization of time displaying and large screen displaying ,Furthermore ,we also extend the primary function ,adding new functions ,such as the single chip peripheral ports ,temperature gathering ,untouched ring-stop, scroll screen display, sound timing .and so on. Adopting the idea of hardware-to-software, most of those functions are realized by softwares, which makes the electrocircuit more concise and the system more stable ,The design achieved and even exceeded all the required basic technical indexes Key words: chip microcomputer large screen display system scroll screen display1任務設計1.1 基本要求:設計并制作LED電子顯示屏和控制器。1.1.1 自制一臺簡易16行*32列點陣顯示的LED電子顯示屏;1.1.2 自制顯示屏控制器,擴展鍵盤和相應的接口實現(xiàn)多功能顯示控制,顯示屏顯示數(shù)字和字母亮度適中,應無閃爍。 1.1.3 顯示屏通過按鍵切換顯示數(shù)字和字母;1.1.4 顯示屏能顯示4組特定數(shù)字或者英文字母組成的句子,通過按鍵切換顯示內容;1.1.5 能顯示4組特定漢字組成的句子,通過按鍵切換顯示內容。1.2發(fā)揮部分:1.2.1自制一臺簡易16行*64列點陣顯示的LED電子顯示屏;1.2.2 LED顯示屏亮度連續(xù)可調。1.2.3 實現(xiàn)信息的左右滾屏顯示,預存信息的定時循環(huán)顯示;1.2.4 實現(xiàn)實時時間的顯示,顯示屏數(shù)字顯示: 時分秒(例如 183859);1.2.5增大到10組(每組漢字8個或16個數(shù)字和字符)預存信息,信息具有掉電保護;1.2.6實現(xiàn)和PC機通訊,通過PC機串口直接對顯示信息進行更新(須做PC機客戶程序);1.3 創(chuàng)新部分1.3.1 室溫的測量1.3.2 定時鬧鈴1.3.3 整點報時1.3.4 非接觸止鬧 2、方案論證2.1 顯示部分: 顯示部分是本次設計最核心的部分,對于LED8*8點陣顯示有以下兩種方案:方案一:靜態(tài)顯示,將一幀圖像中的每一個二極管的狀態(tài)分別用0 和1 表示,若為0 ,則表示L ED 無電流,即暗狀態(tài);若為1 則表示二極管被點亮。若給每一個發(fā)光二極管一個驅動電路,一幅畫面輸入以后,所有L ED 的狀態(tài)保持到下一幅畫。對于靜態(tài)顯示方式方式,所需的譯碼驅動裝置很多,引線多而復雜,成本高,且可靠性也較低。方案二:動態(tài)顯示,對一幅畫面進行分割,對組成畫面的各部分分別顯示,是動態(tài)顯示方式。動態(tài)顯示方式方式,可以避免靜態(tài)顯示的問題。但設計上如果處理不當,易造成亮度低,閃爍問題。因此合理的設計既應保證驅動電路易實現(xiàn),又要保證圖像穩(wěn)定,無閃爍。動態(tài)顯示采用多路復用技術的動態(tài)掃描顯示方式, 復用的程度不是無限增加的, 因為利用動態(tài)掃描顯示使我們看到一幅穩(wěn)定畫面的實質是利用了人眼的暫留效應和發(fā)光二極管發(fā)光時間的長短, 發(fā)光的亮度等因素. 我們通過實驗發(fā)現(xiàn), 當掃描刷新頻率(發(fā)光二極管的停閃頻率) 為50Hz, 發(fā)光二極管導通時間1m s 時, 顯示亮度較好, 無閃爍感.。 鑒于上述原因, 我們采用方案二 2.2數(shù)字時鐘數(shù)字時鐘是本設計的重要的部分。根據(jù)需要,可利用兩種方案實現(xiàn)。方案一:本方案完全用軟件實現(xiàn)數(shù)字時鐘。原理為:在單片機內部存儲器設三個字節(jié)分別存放時鐘的時、分、秒信息。利用定時器與軟件結合實現(xiàn)1秒定時中斷,每產(chǎn)生一次中斷,存儲器內相應的秒值加1;若秒值達到60,則將其清零,并將相應的分字節(jié)值加1;若分值達到60,則清零分字節(jié),并將時字節(jié)值加1;若時值達到24,則將時字節(jié)清零。該方案具有硬件電路簡單的特點,但當單片機不上電,程序將不執(zhí)行。且由于每次執(zhí)行程序時,定時器都要重新賦初值,所以該時鐘精度不高。方案二:本方案采用Dallas公司的專用時鐘芯片DS1302。該芯片內部采用石英晶體振蕩器,其芯片精度不大于10ms/年,且具有完備的時鐘鬧鐘功能,因此,可直接對其以用于顯示或設置,使得軟件編程相對簡單。為保證時鐘在電網(wǎng)電壓不足或突然掉電等突發(fā)情況下仍能正常工作,芯片內部包含鋰電池。當電網(wǎng)電壓不足或突然掉電時,系統(tǒng)自動轉換到內部鋰電池供電系統(tǒng)。而且即使系統(tǒng)不上電,程序不執(zhí)行時,鋰電池也能保證芯片的正常運行,以備隨時提供正確的時間。基于時鐘芯片的上述優(yōu)點,本設計采用方案二完成數(shù)字時鐘的功能。2.3 溫度采集部分能進行溫度測量是本設計的創(chuàng)新部分,由于現(xiàn)在用品追求多樣化,多功能化,所以我們決定給系統(tǒng)加上溫度測量顯示模塊,方便人們的生活,使該設計具有人性化。方案一:采用熱敏電阻,可滿足 40 攝氏度至 90 攝氏度測量范圍,但熱敏電阻精度、重復性、可靠性較差,對于檢測小于 1 攝氏度的信號是不適用的。 方案二:采用溫度傳感器DS18B20。DS18B20可以滿足從-55攝氏度到+125攝氏度測量范圍,且DS18B20測量精度高,增值量為0.5攝氏度,在一秒內把溫度轉化成數(shù)字,測得的溫度值的存儲在兩個八位的RAM中,單片機直接從中讀出數(shù)據(jù)轉換成十進制就是溫度,使用方便?;贒S18b20的以上優(yōu)點,我們決定選取DS18b20來測量溫度。2.4 芯片的選擇方案一:采取并口輸入,占用大量I/O口資源方案二:選取串口輸入,使用較少。所以我們選用串口輸入。串口輸入我們可以選用芯片有74HC595、74LS164、TPIC6B595。但是74HC595和74LS164兩種芯片必須加驅動才能驅動LED,而TI 公司的DMOS 器件TPIC6B595 , 除具有TTL 和CMOS 器件中移位寄存器595 的邏輯功能外, 其最大的特點是驅動功率大, 可直接用作LED的驅動。綜合以上比較,我們選取TPIC6B595來驅動LED點陣。2.5 鬧鈴方式的選擇方案一:采用蜂鳴器鬧鈴,當?shù)皆O定時間時,單片機向蜂鳴器送出高電平,蜂鳴器發(fā)生。采用蜂鳴器鬧鈴結構簡單,控制方便,但是發(fā)出的鬧鈴聲音單一。方案二:采用錄音放音芯片1420鬧鈴,先對錄放音設備錄入一段音樂,當?shù)皆O定時間時,單片機控制錄放音設備放音。采用錄放音電路鈴聲可以自己預先設定一段自己喜歡的音樂,符合電器設備人性化的要求。且1420芯片可以分段錄音,還具有語音報時功能?;阡浺舴乓粜酒?420的以上優(yōu)點,我們決定采用錄放音設備鬧鈴。 2.6 止鬧方式的選擇一般鐘表都具有鬧鐘功能,到設定時間,便自動啟動鬧鐘,發(fā)出音樂提醒人們,再由人按下止鬧按鈕停止鬧鐘工作。 一般每天只能設置一次鬧鐘、并要由人按下按鈕止鬧,使用不是很方便。智能處理器應用可改變這種狀況,一天可按自己需要設置鬧鐘的開關、多次鬧鐘設置并可用非接觸方式止鬧。方案一:采取遠程紅外遙控止鬧,遙控器發(fā)出特定紅外信號時,單片機接受到信號,向發(fā)音設備發(fā)出停止信號止鬧。紅外遙控止鬧控制距離遠,但是價格昂貴,增加了制造成本。方案二:采取光電傳感器,當用一物體遮擋光電傳感光電傳感器向單片機接口送出一個低電平,單片機立即向發(fā)音設備發(fā)出停止信號止鬧。光電傳感器價格便宜,線路簡單,易于控制。基于以上比較我們決定采用光電傳感器止鬧。2.7 串口通訊芯片的選擇AT89S52串行口采用的是TTL電平,因此必須的有電平轉換電路,可以選擇1488,1489,MAX232A.方案一:采用1488或1489芯片實現(xiàn)電平轉換,但在使用中發(fā)現(xiàn)這兩種芯片可靠性不高,且需要正負12V電源,使用麻煩。方案二:采用單電源電平轉換芯片MAX232A可以使電路變得簡單,可靠?;谝陨戏治觯覀冞x用方案二,選用芯片MAX232A2.8 電源模塊 方案一:采用干電池作為LED點陣系統(tǒng)的電源,由于點陣系統(tǒng)耗電量較大,使用干電池需經(jīng)常換電池,不符合節(jié)約型社會的要求。點陣系統(tǒng)要懸掛在墻上,電池總量大,使用會有較大安全隱患。 方案二:采用200W/5V直流穩(wěn)壓電源作為系統(tǒng)電源,不僅功率上可以滿足系統(tǒng)需要,不需要更換電源,并且比較輕便,使用更加安全可靠 基于以上分析,我們決定采用方案二3、總體方案3.1 工作原理: 利用單片機AT89S52單片機作為本系統(tǒng)的中控模塊。單片機可把由DS18B20、DS1302讀來的數(shù)據(jù)利用軟件來進行處理,從而把數(shù)據(jù)傳輸?shù)斤@示模塊,實現(xiàn)溫度、日歷的顯示。點陣LED電子顯示屏顯示器為主要的顯示模塊,把單片機傳來的數(shù)據(jù)顯示出來,并且可以實現(xiàn)滾動顯示。利用光電傳感器來實現(xiàn)非接觸止鬧功能。在顯示電路中,主要靠按鍵來實現(xiàn)各種顯示要求的選擇與切換。3.2DS1302DS18B204*4鍵盤錄放音模塊光電傳感器LED大屏幕顯示圖1 系統(tǒng)框圖8255AT89S52 總體設計設計總體框圖如圖14、系統(tǒng)硬件設計(單元電路設計及分析)4.1 AT89S52單片機最小系統(tǒng):最小系統(tǒng)包括晶體振蕩電路、復位開關和電源部分。圖2為AT89S52單片機的最小系統(tǒng)。圖2 最小系統(tǒng)電路圖4.2 溫度測量模塊:溫度測量傳感器采用DALLAS公司DS18B20的單總線數(shù)字化溫度傳感器,測溫范圍為-55125,可編程為9位12位A/D轉換精度,測溫分辨率達到0.0625,采用寄生電源工作方式, CPU只需一根口線便能與DS18B20通信,占用CPU口線少,可節(jié)省大量引線和邏輯電路。接口電路如圖3所示。圖3 DS18B20測量電路4.3 時鐘模塊時鐘模塊采用DS1302芯片,DS1302 是DALLAS 公司推出的涓流充電時鐘芯片內含有一個實時時鐘/日歷和31 字節(jié)靜態(tài)RAM 通過簡單的串行接口與單片機進行通信實時時鐘/日歷電路提供秒分時日日期月年的信息每月的天數(shù)和閏年的天數(shù)可自動調整時鐘操作可通過AM/PM 指示決定采用24 或12 小時格式DS1302 與單片機之間能簡單地采用同步串行的方式進行通信僅需用到三個口線1 RES 復位2 I/O 數(shù)據(jù)線3 SCLK串行時鐘時鐘/RAM 的讀/寫數(shù)據(jù)以一個字節(jié)或多達31 個字節(jié)的字符組方式通信DS1302 工作時功耗很低保持數(shù)據(jù)和時鐘信息時功率小于1mW,其接線電路如圖4圖4 時鐘電路4.4 鍵盤模塊鍵盤、狀態(tài)顯示模塊:為了使軟件編程簡單,本設計利用可編程芯片8255。接法如表1所示。PA口接按鍵,PC口則用于控制狀態(tài)顯示所用LED點陣。每個按鍵都通過一個10K的上拉電阻接電源+Vcc,按鍵的另一端接地。當有鍵按下時,與該鍵相連的PA口的相應位變?yōu)榈碗娖?,單片機檢測到該變化后即轉到相應的鍵處理程序,同時在程序中點亮LED點陣。模塊電路如圖5圖5 鍵盤電路 4.5 LED顯示模塊點陣數(shù)據(jù)串行輸入, 器件為 移位寄存器TPIC6B595595, 門控和掃描信號常以16 點陣為一行進行并行處理。在點陣顯示中以48個L ED 點陣構成一個L ED 顯示單元, 采用行共陽列共陰的編排方式。其驅動分為行列兩部分, 分別來自于行、列移位寄存器, 行數(shù)據(jù)是掃描數(shù)據(jù), 16 行中每次只有一行被驅動, 采用逐行掃描方式, 列數(shù)據(jù)則為漢字的點陣碼。對于字符和圖形顯示也可以用點陣處理, 其顯示原理和方法相同.電路如圖6 圖6 LED顯示電路4.6電源選擇: 200W/5V的直流穩(wěn)壓電源更加安全電路圖如圖7 圖7 電源電路4.7 PC機通訊 MAX232是標準的串口通信接口,對于一般的雙向通訊,只需要使用串行輸入口RXD(第3腳)、串行輸出TXD(第2腳)和地線(第7腳)。MAX232邏輯電平的規(guī)定如表2.表 2 邏輯電平表邏輯值電平幅值 (v)03+1513-15圖8 串口通訊4.8整體電路系統(tǒng)整體電路如下:圖9 整體電路5、系統(tǒng)軟件設計5.1主程序如圖105.2顯示子程序流程如圖 11開始查找顯示漢字數(shù)據(jù)放入RAM中將數(shù)據(jù)串行傳入TPIC6B595行掃描32行是否掃完返回圖11 顯示子程序流程5.3 顯示時間子程序流程如圖12開始DS1302初始化從DS1302中讀數(shù)據(jù),并放入RAM調用顯示子程序顯示時間圖12 時間子程序流程圖5.4 與PC串口通訊程序開始串口通訊初始化等待PC機發(fā)聯(lián)絡信號是否接受到信號將接受到的數(shù)據(jù)放到指定區(qū)域數(shù)據(jù)發(fā)送是否完畢返回圖13 串口通訊程序5.5 LED亮度調節(jié)開始鍵盤掃描“亮度”鍵是否按下LED顯示當前亮度等級鍵盤掃描“+”鍵按下“”鍵按下內部RAM中2AH單元所存數(shù)據(jù)加1內部RAM中2AH單元所存數(shù)據(jù)減1返回NY圖 14 LED亮度調節(jié)5.6溫度測量流程圖如圖15開始DS18B20初始化從DS18B20中讀出數(shù)據(jù),放入RAM在LED點陣中顯示溫度返回 圖15 溫度測量程序流程圖6、測量及其結果分析6.1 基本部分測試與分析6.11 測試儀器: 秒表 、溫度表、萬用電表、WAVE仿真器6.12基本要求部分的測試與分析:(1)系統(tǒng)上電后,全屏點亮,沒有暗點。接著顯示時間。按“#”鍵后時掃描鍵盤,當有110鍵按下時,分別顯示十段設定的數(shù)字、英文或漢字。(2)顯示時間時通過與秒表對比,測試的系統(tǒng)時間準確。6. 2 發(fā)揮部分測試與分析:(1) 當按下#后在按下進入亮度調節(jié),按下“+”鍵時,亮度增加。按下“”鍵時,亮度變弱。(2) 可以實現(xiàn)文字左右移動(3) 按下“設置時間”鍵,觀察到“鐘表” 二極管點亮,此時可對時間進行設置。按下“時間設置“進入時調試,按“+”鍵時間加。在按下“切換”鍵時,進入分調整模式,按下“+”鍵,分增加。按下“選擇”鍵時,分調整模式改為秒調整模式,按下“+”鍵秒增加。經(jīng)測試該步可以很好的實現(xiàn)。調整時間完畢后,再按一下“鬧鐘設置”進入鬧鈴設置狀態(tài),按下“+”鍵設定“時”增加,在按下“選擇”鍵進入分的設置,按下“+”鍵設定“分”增加。在按下“選擇”鍵進入秒設置模式,按“+”鍵秒增加。(4)系統(tǒng)可以顯示10組,每組8個漢字,完成要求 6.3 創(chuàng)新部分測試與分析(1)溫度測量: 鍵盤切換現(xiàn)場環(huán)境溫度顯示:按“功能”鍵選擇“溫度”,將溫度傳感器和溫度計放入不同的測試環(huán)境中進行測試,結果如表1所示。 表1 與標準溫度計測量值比較表溫度計示值(攝氏度)10.325.249.770.185.5溫度輸出(攝氏度)1025507086由測試知,數(shù)字鐘的輸出與溫度計值基本上相等,誤差不大于0.5度。(2) 當?shù)皆O定時間時,開始訪一段音樂,完成定時鬧鈴功能。(3)當用手遮擋光電傳感器后,停止放音。手拿開后,不再放音,直到到設定時間。完成非接觸止鬧功能7、設計總結 全心準備了整整一個酷暑,9月8日我們終于拿到了試題,我們一致選擇控制類題目A(LED點陣),從基本方案的制定,在到硬件電路的選擇,到制作電路制作,最后進行程序調試。在此期間我們遇到很多困難,幾乎沒有說過一次好覺,盡管很艱苦,但是我們各自分工,相互協(xié)作,一次又一次品嘗到了解決問題的喜悅,最終完成了要求的全部功能,并加入了一些創(chuàng)新的部分。在競賽中我們發(fā)現(xiàn)了自己知識的不足,通過四天三夜的奮斗,也可以說四天三夜的學習,我們學到了很多東西,最重要的是我們學會了一種精神永不放棄。在以后的時間里面我們會用這種精神去學習,更上一層樓。8、參考資料 1基于單片機結構的智能系統(tǒng)設計與實現(xiàn) 沈紅衛(wèi) 編 電子工業(yè)出版社2單片機原理與接口技術 黃惠媛 編 海洋出版社3單片機應用技術 周平 伍云輝 編 電子科技大學出版社48051單片機實踐與應用 吳金戌 沈金陽 郭庭吉 編 清華大學出版社5電子設計競賽賽題解析 黃正謹?shù)染?東南大學出版社 附錄:例:整屏四個字一起顯示的程序SER EQU P1.0 ; TPIC6B595 第3腳OE EQU P1.1;TPIC6B595 第8腳RCK EQU P1.2;TPIC6B595 第12腳MR EQU P1.3;TPIC6B595 第9腳SRCLK EQU P1.4;TPIC6B595 第13腳ORG 0000HLJMP MAINORG 0100H;*主程序*MAIN: MOV SP,#70HSETB OE MOV 2BH,#00HMOV 27H,#00HSTART: ;初始化寄存器RAM單元MOV R0, #30HMOV R1,#64H ;30H6FH單元清零MOV A, #00HST: MOV R0,A INC R0 DJNZ R1,ST;2Dh-尋址偏址 ;整屏四個字一起顯示LOOP:MOV DPTR,#TAB;賦查表初值MOV R3,#56PLAY: MOV 2DH,#00H MOV 2FH,#10HGG: LCALL LINE16;掃描顯示一次MOV 2DH,#00HDJNZ 2FH,GGMOV A,DPLCLR CADD A, #16MOV DPL ,AMOV A, DPHADDC A ,#00HMOV DPH, ADJNZ R3,PLAYSJMP STARTLINE16: MOV 2BH,#16;掃16行,2BH放后還剩幾行FF: LCALL LINE1;掃描顯示一行子程序DJNZ 2BH,FF;掃描行直到16行掃完再轉RETLINE1:LCALL MSTR;將顯示的內容移入內存單元 LCALL SEND;發(fā)送串口子程序 LCALL XH;選通行子程序 RETMSTR: ;顯示內容移入內存單元 MOV R0,#30H MOV R4,#9 MOV A,2DH;BB: MOVC A,A+DPTR MOV R0,A INC R0 MOV A,2DH ADD A,#16;加16移到下一行 MOV 2DH,A DJNZ R4,BB MOV A,2DH SUBB A,#143 MOV 2DH,A RET;$發(fā)送數(shù)據(jù)到串口子程序$SEND:MOV R0,#30H MOV R5,#8 ;一行有8個字節(jié),如每次顯示字數(shù)不同要作相應改動 SETB OE SETB MR CLR SRCLK CLR RCK SETB P1.6 SETB P1.7LQ: CLR C MOV R2,#8 MOV A,R0 ; CPL A ;TPIC6B595應該不用這一步 LCALL DD1;調用顯示一個字節(jié)的子程序 INC R0;指向下一個顯示單元 DJNZ R5,LQ RETDD1: CLR SRCLK;清串行CP端 RLC A; MOV SER,C SETB SRCLK ;觸發(fā)串行CP端 DJNZ R2,DD1;每個字節(jié)移8次夠了向下執(zhí)行 RET;選通行子程序XH: CLR RCK ;將輸出CP變成低電平為上升沿做準備 NOP SETB RCK CLR P1.6 ;CLR P1.7 MOV A,27H MOV P0,A CLR OELCALL DE25MSINC A SETB OE SETB P1.6MOV A,27H ANL A,#0F0H SWAP A ADD A,#01H SWAP A MOV 27H,ACLR MR SETB MR RETDE25MS: MOV R5,#09H DEL2: MOV R6,#0FH DEL3: MOV R7,#01H DJNZ R7,$ DJNZ R6,DEL3 DJNZ R5,DEL2 RETTAB:字庫END

注意事項

本文(電子設計大賽點陣電子顯示屏資料.doc)為本站會員(xin****828)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!