九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

交通信號(hào)燈課程設(shè)計(jì).doc

  • 資源ID:6701714       資源大?。?span id="24d9guoke414" class="font-tahoma">464.50KB        全文頁數(shù):37頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

交通信號(hào)燈課程設(shè)計(jì).doc

交通信號(hào)燈控制系統(tǒng)的設(shè)計(jì) 摘 要 摘要 交通信號(hào)燈是城市交通有序 安全 快速運(yùn)行的重要保障 而保障交通 信號(hào)燈正常工作就成了保障交通有序 安全 快速運(yùn)行的關(guān)鍵 為此 設(shè)計(jì)交 通燈控制電路 配以七段共陰極數(shù)碼管顯示時(shí)間的顯示模塊 交通燈顯示模塊 調(diào)時(shí)模塊 實(shí)現(xiàn)根據(jù)實(shí)際車流量通過設(shè)置紅 綠燈燃亮?xí)r間以及雙位數(shù)碼管顯 示倒計(jì)時(shí) 三種顏色燈交替點(diǎn)亮以及緊急情況下的中斷處理功能 本系統(tǒng)性價(jià) 比高 實(shí)用性強(qiáng) 操作簡(jiǎn)單 功能全面 關(guān)鍵詞 計(jì)數(shù)器 交通燈 控制電路 Abstract Traffic lights in urban traffic in an orderly safe and an important guarantee for fast running and the protection of traffic lights to protect the normal work of the traffic has become orderly safe fast running key To this end the use of single chip device designed as the central traffic light control circuit with a total of cathode seventh time the digital display module the traffic light display module transfer module crystal oscillator circuit reset circuit and chip set red green and double time to kindle a digital countdown display The turn of the three colors of lights and emergency lighting in case of interruption of processing The system cost effective practical simple full featured Key words counter traffic light control circuit 目錄 摘 要 1 ABSTRACT 2 第 1 章 交通信號(hào)燈的設(shè)計(jì)目的以及要求 4 第 2 章 設(shè)計(jì)原理分析 6 第 3 章 主控制器 9 第 4 章 計(jì)數(shù)器 24 4 1 計(jì)數(shù)器的作用 24 4 2 計(jì)數(shù)器的工作情況 27 4 3 控制信號(hào)燈的譯碼電路的真值表 4 4 置數(shù)電路 4 5 狀態(tài)譯碼電路 第 5 章譯碼顯示電路 31 5 1 共陰極共陰極 LED 七段數(shù)碼管 31 5 2 CD4511 譯碼器 31 第 6 章 555 振蕩器構(gòu)成的秒脈沖電路 33 6 1 555 定時(shí)器的引腳 6 2 555 定時(shí)器構(gòu)成的多諧振蕩器 6 3 555 定時(shí)器工作原理 第七章 程序設(shè)計(jì) 34 第八章 組裝和調(diào)試過程 36 第九章 總結(jié) 參考文獻(xiàn) 36 附錄 1 程序 37 附錄 2 交通燈信號(hào)燈控制總體框圖 第一章 交通信號(hào)燈的設(shè)計(jì)目的以及要求 十字路口的紅綠燈指揮著行人和各種車輛的安全運(yùn)行 實(shí)現(xiàn)紅綠燈的自動(dòng) 指揮是城市交通自動(dòng)化的重要課題 本課題利用數(shù)字路的基本知識(shí)和設(shè)計(jì)方法 設(shè)計(jì)一個(gè)簡(jiǎn)單的交通燈控制系統(tǒng)要求 1 掌握系統(tǒng)設(shè)計(jì)的一般步驟和方法 掌握一個(gè)大的系統(tǒng)中各子系統(tǒng)之間的 相互作 用和相互制約關(guān)系 2 運(yùn)用數(shù)字電路理論知識(shí)自行設(shè)計(jì)并實(shí)現(xiàn)一個(gè)較為完整的小型數(shù)字系統(tǒng) 通過系統(tǒng)設(shè)計(jì) 電路安排與調(diào)試 寫設(shè)計(jì)論文等環(huán)節(jié) 初步掌握工程設(shè)計(jì)的具 體步驟和方法 提高分析問題和解決問題的能力 提高實(shí)際應(yīng)用水平 3 學(xué)會(huì)用中規(guī)模器件設(shè)計(jì)一個(gè)符合要求的系統(tǒng) 并熟悉常用中規(guī)模器件的 用法 4 學(xué)會(huì)按照電路圖在面包板上合理布局使各器件在系統(tǒng)中的連線更簡(jiǎn)單 清晰 5 掌握連接實(shí)物圖的一般步驟和方法 學(xué)會(huì)系統(tǒng)安裝與調(diào)試的一般步驟和 方法 6 在實(shí)踐中運(yùn)用理論知識(shí) 培養(yǎng)實(shí)際動(dòng)手能力 7 主干道的通行時(shí)間長(zhǎng)于支干道的通行時(shí)間 8 每次由綠燈變?yōu)榧t燈或由紅燈變?yōu)榫G燈的前 5 秒四個(gè)路口要亮黃燈以提 示過往車輛及行人注意路燈變化 安全通行 9 設(shè)計(jì)正計(jì)時(shí) 30s 20s 計(jì)時(shí)數(shù)碼實(shí)現(xiàn)電路 要求每秒鐘改變一次數(shù)字 第二章 設(shè)計(jì)原理分析 人行道 人行道 人行道 人行道 1 首先了解實(shí)際交通燈的變化情況和規(guī)律 假設(shè)一個(gè)十字路口如上圖所以 為東南西北走向 初始狀態(tài) 0 為東西南北都紅燈亮 然后轉(zhuǎn)狀態(tài) 1 東西綠燈通 車 南北紅燈亮 過一段時(shí)間后 轉(zhuǎn)狀態(tài) 2 東西綠燈滅 黃燈閃幾下 南北 還是紅燈 再轉(zhuǎn)狀態(tài) 3 南北綠燈通車 東西紅燈亮 過一段時(shí)間后轉(zhuǎn)狀態(tài) 4 南北綠燈滅 閃幾個(gè)黃燈 東西還是為紅燈亮 一段時(shí)間后 又循環(huán)至狀 態(tài) 1 列出交通信號(hào)燈的狀態(tài)表如下 其中 1 代表燈亮 0 代表燈滅 北 西 南 東狀態(tài) 綠 黃 紅 綠 黃 紅 綠 黃 紅 綠 黃 紅 0 001 001 001 001 1 001 100 001 100 2 001 010 001 010 3 100 001 100 001 4 010 001 010 001 2 對(duì)于交通信號(hào)燈來說 應(yīng)該有東西南北共四組燈 但由于同一道上的 兩組的信號(hào)燈的顯示情況是相同的 所以只要用兩組就行了 因此 采用單片 機(jī)內(nèi)部的 I O 口上的 P1 口中的 6 個(gè)引腳即可來控制 6 個(gè)信號(hào)燈 3 通過編寫程序 實(shí)現(xiàn)對(duì)發(fā)光二極管的控制 來模擬交通信號(hào)燈的管理 每延時(shí)一段時(shí)間 燈的顯示情況都會(huì)按交通燈的顯示規(guī)律進(jìn)行狀態(tài)轉(zhuǎn)換 4 通過延時(shí)時(shí)間送顯 可以在原有的交通信號(hào)燈系統(tǒng)的基礎(chǔ)上 增添其倒 計(jì)時(shí)間的顯示功能 實(shí)現(xiàn)其功能的擴(kuò)展 第三章 主控制器 3 1 74LS90 引腳排列圖與邏輯圖 十字路口車輛運(yùn)行情況只有 4 種可能 實(shí)現(xiàn)這 4 個(gè)狀態(tài)的電路 可用兩個(gè) 觸發(fā)器構(gòu)成 也可用一個(gè)二 十進(jìn)制計(jì)數(shù)器或二進(jìn)制計(jì)數(shù)器構(gòu)成 我采用二 十 進(jìn)制計(jì)數(shù)器 74LS90 實(shí)現(xiàn) 采用反饋歸零法構(gòu)成 4 進(jìn)制計(jì)數(shù)器 即可從輸出端 QBQA 得到所要求的 4 個(gè) 狀態(tài) 圖 4 1 74LS90 管腳排列圖 邏輯圖如圖所示 為以后敘述方便 設(shè) X1 QB X0 QA 74LS90 計(jì)數(shù)器是一種中規(guī)模二一五進(jìn)制計(jì)數(shù)器 管腳引線如圖 3 6 1 功能表 如表 3 6 1 所示 表 3 6 1 7490 功能表 復(fù)位輸入 輸出 R1 R2 S1 S2 QD QC QB QA H H L H H L H H L L L L L L L L H L L H 計(jì) 數(shù) 計(jì) 數(shù) X L L L L L L L L 計(jì) 數(shù) 計(jì) 數(shù) A 將輸出 QA與輸入 B 相接 構(gòu)成 8421BCD 碼計(jì)數(shù)器 B 將輸出 QD與輸入 A 相接 構(gòu)成 5421BCD 碼計(jì)數(shù)器 C 表中 H 為高電平 L 為低電平 為不定狀態(tài) 74LS90 邏輯電路圖如圖 3 6 1 所示 它由四個(gè)主從 JK 觸發(fā)器和一些附加 門電路組成 整個(gè)電路可分兩部分 其中 FA觸發(fā)器構(gòu)成一位二進(jìn)制計(jì)數(shù)器 F D F C F B構(gòu)成異步五進(jìn)制計(jì)數(shù)器 在 74LS90 計(jì)數(shù)器電路中 設(shè)有專用置 0 端 R1 R 2和置位 置 9 端 S1 S 2 74LS90 具有如下的五種基本工作方式 1 五分頻 即由 FD F C 和 FB組成的異步五進(jìn)制計(jì)數(shù)器工作方式 2 十分頻 8421 碼 將 QA與 CK2聯(lián)接 可構(gòu)成 8421 碼十分頻電路 3 六分頻 在十分頻 8421 碼 的基礎(chǔ)上 將 QB端接 R1 Q C端接 R2 其計(jì)數(shù)順序?yàn)?000 101 當(dāng)?shù)诹鶄€(gè)脈沖作用后 出現(xiàn)狀態(tài) QCQBQA 110 利用 QB QC 11 反饋到 R1和 R2的方式使電路置 0 4 九分頻 Q A R 1 Q D R 2 構(gòu)成原理同六分頻 5 十分頻 5421 碼 將五進(jìn)制計(jì)數(shù)器的輸出端 QD接二進(jìn)制計(jì)數(shù)器的 脈沖輸入端 CK1 即可構(gòu)成 5421 碼十分頻工作方式 此外 據(jù)功能表可知 構(gòu)成上述五種工作方式時(shí) S 1 S 2端最少應(yīng)有一端 接地 構(gòu)成五分頻和十分頻時(shí) R 1 R 2端亦必須有一端接地 第四章 計(jì)數(shù)器 4 1 計(jì)數(shù)器的作用 計(jì)數(shù)器的作用有二 一是根據(jù)主干道和支干道車輛運(yùn)行時(shí)間以及黃燈切換 時(shí)間的要求 進(jìn)行 30s 20s 5s 3 種方式的計(jì)數(shù) 二是向主控制器發(fā)出狀態(tài) 轉(zhuǎn)換信號(hào) 主控制器根據(jù)狀態(tài)轉(zhuǎn)換信號(hào)進(jìn)行狀態(tài)轉(zhuǎn)換 4 2 計(jì)數(shù)器的工作情況 計(jì)數(shù)器除需要秒脈沖作時(shí)鐘信號(hào)外 還應(yīng)受主控制器的狀態(tài)控制 計(jì)數(shù)器 的工作情況為 計(jì)數(shù)器在主控制器進(jìn)入狀態(tài) S0 時(shí)開始 60s 計(jì)數(shù) 30s 后產(chǎn)生歸 零脈沖 并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào) 使計(jì)數(shù)器歸零 主控制器進(jìn)入狀態(tài) S1 計(jì)數(shù)器開始 5s 計(jì)數(shù) 5s 后又產(chǎn)生歸零脈沖 并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換 信號(hào) 使計(jì)數(shù)器歸零 主控制器進(jìn)入狀態(tài) S2 計(jì)數(shù)器開始 20s 計(jì)數(shù) 20s 后也 產(chǎn)生歸零脈沖 并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào) 使計(jì)數(shù)器歸零 主控制器進(jìn) 入狀態(tài) S3 計(jì)數(shù)器又開始 5s 計(jì)數(shù) 5s 后同樣產(chǎn)生歸零脈沖 并向主控制器發(fā) 出狀態(tài)轉(zhuǎn)換信號(hào) 使計(jì)數(shù)器歸零 主控制器回到狀態(tài) S0 開始新一輪循環(huán) 根據(jù)以上分析 設(shè) 30s 20s 5s 計(jì)數(shù)的歸零信號(hào)分別為 A B C 則計(jì)數(shù) 器的歸零信號(hào) L 為 L A B C 其中 A S0 QC2 QC2 B S2 QB2 QA2 QB2 QA2 C S1 QB1 QA1 S3 QB1QA1 X0 QB1 QA1 考慮到主控制器的狀態(tài)轉(zhuǎn)換為下降沿觸發(fā) 將 L 取反后送到主控制器的 CP 端作為主控制器的狀態(tài)轉(zhuǎn)換信號(hào) 可選用集成異步十進(jìn)制加法記數(shù)器 74LS90 圖為計(jì)數(shù)器 圖計(jì)數(shù)器 利用 74LS90 正計(jì)數(shù)功能 4 3 控制信號(hào)燈的譯碼電路的真值表 主控制器的 4 種狀態(tài)分別要控制主 支干道紅 黃 綠燈的亮與滅 設(shè)燈 亮為 1 燈滅為 0 則控制信號(hào)燈的譯碼電路的真值表 表控制信號(hào)燈的譯碼電路的真值表 主控制器狀態(tài) 主干道 支干道 X1 X0 紅燈 R 黃燈 Y 綠 燈 G 紅燈 r 黃燈 y 綠 燈 g S0 0 0 0 1 1 0 0 0 S1 0 1 S2 1 0 S3 1 1 0 1 0 1 0 0 1 0 0 1 0 0 0 0 1 0 1 0 4 4 置數(shù)電路 由真值表可分別寫出各燈的邏輯表達(dá)式 R S2 S3 X1X0 X1X0 X1 Y S1 X1X0 G S0 X1X0 r S0 S1 X1X0 X1X0 X1 y S3 X1X0 g S2 X1X0 根據(jù)功能要求采用以下邏輯門電路構(gòu)成 門電路是數(shù)字邏輯電路的基本組成單元 門電路按邏輯功能可分為 與門 或門 非門以及與非門 或非門 異或門 同或門 與或非門 若按電路結(jié)構(gòu) 組成的不同 可分為立元件門電路 CMOS 集成門電路 TTL 集成門電路等 各 種集成門電路通常都封裝在集成芯片內(nèi) 此次設(shè)計(jì)采用的集成電路有 74LS04 74LS00 74LS20 74LS10 引腳排列圖如下圖所示 這些集成電路的封 裝形式均為雙列直插式 為雙列直插式集成電路的右下方通常是地線 GND 左 上方引腳一般是電源線 VCC 其它引腳的用途如圖中符號(hào)所示 每個(gè)集成電路 都有自己的代號(hào) 與代號(hào)對(duì)應(yīng)的名稱形象地說明了集成電路的用途 如 74LS00 是二輸入端四與非門 它說明這個(gè)集成電路中包含四個(gè)二輸入端的與非門 74LS04 74LS00 74LS20 引腳圖如下圖所示 1 2 3 4 5 6 7 891011121314 74ls04 vcc GND 圖 74LS04 六非門內(nèi)部結(jié)構(gòu)引腳圖 1 2 3 4 5 6 7 891011121314 74ls00 vcc GND 圖 74LS00 四入與非門內(nèi)部結(jié)構(gòu)引腳圖 1 2 3 4 5 6 7 891011121314 74ls20 vcc GND 2D 2C NC 2B 2A 2Y 1A 1B NC 1C 1D 1Y 圖 7420 四輸出與非門內(nèi)部結(jié)構(gòu)引腳圖 圖 74LS10 三輸出與非門內(nèi)部結(jié)構(gòu)引腳圖 4 5 狀態(tài)譯碼電路 根據(jù)燈控函數(shù)邏輯表達(dá)式 可畫出由與門和非門組成的狀態(tài)譯碼器電路 如 圖所示 將狀態(tài)控制器 狀態(tài)譯碼器以及模擬三色信號(hào)燈相連接 構(gòu)成三色信 號(hào)燈邏輯控制電路 如圖所示 圖 態(tài)譯碼電路 第五章 譯碼顯示電路 譯碼顯示電路主要是由 共陰極共陰極 LED 七段數(shù)碼管 CD4511 譯碼器組成 5 1 共陰極共陰極 LED 七段數(shù)碼管 數(shù)碼管分為共陽極結(jié)構(gòu)和共陰極結(jié)構(gòu) 若顯示器共陽極連接 則對(duì)應(yīng)陽極 接高電平的字段發(fā)光 而顯示器共陰極連接 則接低電平的字段發(fā)光 此次設(shè)計(jì)采用的是共陰極連接如圖 圖 共陰極數(shù)碼管引腳圖 5 2 CD4511 譯碼器 圖 CD4511 管腳功能排列圖 1 以下介紹各引腳的功能 其功能介紹如下 BI 4 腳是消隱輸入控制端 當(dāng) BI 0 時(shí) 不管其它輸入端狀態(tài)如 何 七段數(shù)碼管均處于熄滅 消隱 狀態(tài) 不顯示數(shù)字 LT 3 腳是測(cè)試輸入端 當(dāng) BI 1 LT 0 時(shí) 譯碼輸出全為 1 不 管輸入 DCBA 狀態(tài)如何 七段均發(fā)亮 顯示 8 它主要用來檢測(cè)數(shù)碼管是否 損壞 LE 鎖定控制端 當(dāng) LE 0 時(shí) 允許譯碼輸出 LE 1 時(shí)譯碼器 是鎖定保持狀態(tài) 譯碼器輸出被保持在 LE 0 時(shí)的數(shù)值 A1 A2 A3 A4 為 8421BCD 碼輸入端 a b c d e f g 為譯碼輸出端 輸出為高電平 1 有效 2 數(shù)碼連接譯碼電路 CD4511 是一種 BCD 碼輸入端 其中 D 是高電位 a b c d e f g 是輸 出端 輸出高電平有效 和共陰極半導(dǎo)體發(fā)光數(shù)碼管各發(fā)光段的陽極引出線相 互連接 下面是七段數(shù)碼顯示器管腳接法 CD4511 和數(shù)碼管的管腳排列圖 圖 段數(shù)碼顯示器管腳接法 圖 數(shù)碼管連接電路圖 3 真值表 共陽極數(shù)碼管 的數(shù)字顯示真值表如下表所示 表 七段顯示譯碼電路真值表 第六章 555 振蕩器構(gòu)成的秒脈沖電路 555 定時(shí)器是種中規(guī)模集成電路 只要外部配上適當(dāng)阻容元件 就構(gòu)成 脈沖產(chǎn)生和整形電路 6 1 555 定時(shí)器的引腳 NE555引腳圖及 ne555的作用介紹 ne555是一種應(yīng)用特別廣泛作用很大的的集成電路 屬于小規(guī)模集成 電路 在很多電子產(chǎn)品中都有應(yīng)用 ne555的作用是用內(nèi)部的定時(shí)器 來構(gòu)成時(shí)基電路 給其他的電路提供時(shí)序脈沖 ne555時(shí)基電路有兩 種封裝形式有 一是 dip 雙列直插8腳封裝 另一種是 sop 8小型 smd 封裝形式 其他 ha17555 lm555 ca555 分屬不同的公 司生產(chǎn)的產(chǎn)品 內(nèi)部結(jié)構(gòu)和工作原理都相同 ne555的內(nèi)部結(jié)構(gòu)可等效成23 個(gè)晶體三極管 17個(gè)電阻 兩個(gè)二極管 組成了比較器 RS 觸發(fā)器 等多組單元電路 特別是由三只精度較高5k 電阻構(gòu)成了一個(gè)電阻分壓器 為上 下比較器提供基準(zhǔn)電壓 所以稱之為 555 ne555屬于 cmos 工藝制造 NE555引腳圖介紹如下 1地 GND 2觸發(fā) 3輸出 4復(fù)位 5控制電壓 6門限 閾值 7放電 8電源電壓 Vcc 應(yīng)用十分廣泛 下面是一個(gè)簡(jiǎn)單的 ne555電路應(yīng)用 NE555 Timer IC 大約在1971 由 Signetics Corporation 發(fā)布 在當(dāng)時(shí)是 唯一非??焖偾疑虡I(yè)化的 Timer IC 在往后的30 非常普遍被使用 且延伸 出許多的應(yīng)用電 盡管近 CMOS 技術(shù)版本的 Timer IC 如 MOTOROLA 的 MC1455已被大 的使用 但原規(guī)格的 NE555依然正常的在市場(chǎng)上供應(yīng) 盡 管新版 IC 在功能上有部份的改善 但其腳位勁能并沒變化 所以到目前 可 直接的代用 NE555是屬于555系列的計(jì)時(shí) IC 的其中的一種型號(hào) 555系列 IC 的接腳功 能及運(yùn)用都是相容的 只是型號(hào)不同的因其價(jià)格不同其穩(wěn)定度 省電 可產(chǎn)生 的振蕩頻率也不大相同 而555是一個(gè)用途很廣且相當(dāng)普遍的計(jì)時(shí) IC 只需少 數(shù)的電阻和電容 便可產(chǎn)生數(shù)位電路所需的各種不同頻率之脈沖信號(hào) a NE555的特點(diǎn)有 1 只需簡(jiǎn)單的電阻器 電容器 即可完成特定的振蕩延時(shí)作用 其延時(shí)范圍極 廣 可由幾微秒至幾小時(shí)之久 2 它的操作電源電壓范圍極大 可與 TTL CMOS 等邏輯電路配合 也就是它 的輸出準(zhǔn)位及輸入觸發(fā)準(zhǔn)位 均能與這些邏輯系列的高 低態(tài)組合 3 其輸出端的供給電流大 可直接推動(dòng)多種自動(dòng)控制的負(fù)載 4 它的計(jì)時(shí)精確度高 溫度穩(wěn)定度佳 且價(jià)格便宜 5 靜態(tài)電流 最大值 VCC 5 V RL 6mA VCC 15 V RL 15mA b NE555引腳圖功能配置說明下 圖1 2 NE555各腳功能 管腳圖 Pin 1 接地 地線 或共同接地 通常被連接到電路共同接地 Pin 2 觸發(fā)點(diǎn) 這個(gè)腳位是觸發(fā) NE555使其啟動(dòng)它的時(shí)間周期 觸發(fā)信號(hào)上緣 電壓須大于2 3 VCC 下緣須低于1 3 VCC Pin 3 輸出 當(dāng)時(shí)間周期開始555的輸出輸出腳位 移至比電源電壓少1 7伏的高 電位 周期的結(jié)束輸出回到 O 伏左右的低電位 于高電位時(shí)的最大輸出電流大 約200 mA Pin 4 重置 一個(gè)低邏輯電位送至這個(gè)腳位時(shí)會(huì)重置定時(shí)器和使輸出回到一個(gè) 低電位 它通常被接到正電源或忽略不用 Pin 5 控制 這個(gè)接腳準(zhǔn)許由外部電壓改變觸發(fā)和閘限電壓 當(dāng)計(jì)時(shí)器經(jīng)營(yíng)在 穩(wěn)定或振蕩的運(yùn)作方式下 這輸入能用來改變或調(diào)整輸出頻率 Pin 6 重置鎖定 Pin 6重置鎖定并使輸出呈低態(tài) 當(dāng)這個(gè)接腳的電壓從1 3 VCC 電壓以下移至2 3 VCC 以上時(shí)啟動(dòng)這個(gè)動(dòng)作 Pin 7 放電 這個(gè)接腳和主要的輸出接腳有相同的電流輸出能力 當(dāng)輸出為 ON 時(shí)為 LOW 對(duì)地為低阻抗 當(dāng)輸出為 OFF 時(shí)為 HIGH 對(duì)地為高阻抗 Pin 8 V 這是555個(gè)計(jì)時(shí)器 IC 的正電源電壓端 供應(yīng)電壓的范圍是 4 5伏特 最小值 至 16伏特 最大值 NE555 時(shí)基電路封形式有兩種 一是 DIP 雙列直插 8 腳封裝 另一種是 SOP 8 小型 SMD 封裝形式 其他 HA17555 LM555 CA555 分屬不同的公司生 產(chǎn)的產(chǎn)品 內(nèi)部結(jié)構(gòu)和工作原理都相同 NE555 屬于 CMOS 工藝制造 下面我們 將對(duì)其進(jìn)行介紹 圖 1 是 NE555 的外形封裝圖 圖 2 是它的內(nèi)部功能原理框圖 圖 3 是它 的內(nèi)部等效電路 NE555 的內(nèi)部中心電路是三極管 Q15 和 Q17 加正反饋組成的 RS 觸發(fā)器 輸入控制端有直接復(fù)位 Reset 端 通過比較器 A1 復(fù)位控制端的 TH 比較器 A2 置位控制的 T 輸出端為 F 另外還有集電極開路的放電管 DIS 它們控制的優(yōu)先權(quán)是 R T TH 由 NE555 制邏輯筆 電路工作原理分析 由 555 電路組成的聲光邏輯筆由測(cè)試結(jié)果指示電路和 測(cè)試探頭與邏輯控制電路組成 電路中 NE555 與 R4 R5 及 C 組成一個(gè) 多諧振蕩器 振蕩頻率約 1kHz 由多諧振蕩器輸出的脈沖信號(hào)通過壓電蜂鳴 器 HTD 發(fā)出聲響 由 LED 發(fā)出閃光信號(hào) 用來指示檢測(cè)結(jié)果 晶體管 VT 與 VD1 VD2 及電阻分壓器 R2 R3 等組成邏輯控制電路 它與探頭相配 合 將測(cè)試信號(hào)加至多諧振蕩器的控制端 如果探頭置于懸空狀態(tài) 即不與任 何測(cè)試點(diǎn)接觸 這時(shí)因 VT 的基極無偏壓而截止 NE555 的 腳因 R2 與 R3 的分壓而使其處于接近電源電壓的高電平狀態(tài) 與此同時(shí) NE555 的 腳也 處于懸空狀態(tài) 多諧振蕩器停止振蕩 當(dāng)探頭與低電平測(cè)試點(diǎn)接觸時(shí) 測(cè)試電路所處的狀態(tài)與探頭懸空時(shí)的狀態(tài) 完全相同 多諧振蕩器不振蕩 指示電路無聲無光 當(dāng)探頭與高電平的測(cè)試點(diǎn)接觸時(shí) 被測(cè)試點(diǎn)的高電平經(jīng) R1 及 VD1 VD2 加至 VT 的基極 VT 導(dǎo)通 當(dāng) VT 導(dǎo)通后 由 R2 R3 組成的分壓電路 將電源電壓分壓后加至 腳 使 NE555 內(nèi)的分壓電路得到合適的比較電壓 與此同時(shí) 探頭測(cè)得的高電平被加至 NE555 的 腳 使 NE555 的復(fù)位狀態(tài) 被解除 多諧振蕩器振蕩 輸出的脈沖信號(hào)通過 HTD 發(fā)出聲響 通過 LED 發(fā)出閃光 6 2 555 定時(shí)器構(gòu)成的多諧振蕩器 多諧振蕩器產(chǎn)生矩形波的自激振蕩電路 由于矩形波包含和高次諧波 成分 因此稱為多諧振蕩器 如圖 7 3 555 定時(shí)器圖 7 4 波形圖采用 555 設(shè)計(jì) 的多諧振蕩器及其工作波形 其振蕩頻率與實(shí)際的數(shù)字鐘頻率略有出入 但可 以通過校時(shí)裝置校時(shí) 多諧振蕩器也稱無穩(wěn)態(tài)觸發(fā)器 它沒有穩(wěn)定狀態(tài) 同時(shí) 毋須外加發(fā)脈沖 就能輸出一定頻率的矩形脈沖 自激振蕩 用 555 實(shí)現(xiàn)多 諧振需要外接電阻 R1 R2 和電容 C 并外接 3V 的直流電源 只需在 V CC端接 上 3V 的電源 就能在 3 腳產(chǎn)生周期性的方波 圖 本次設(shè)計(jì)的秒脈沖電路圖 6 3 555 定時(shí)器工作原理 555 定時(shí)器是一種功能強(qiáng)大的模擬數(shù)字混合集成電路 其組成電路框圖如 圖 22 32 所示 它的功能表見表 22 1 555 定時(shí)器有二個(gè)比較器 A1 和 A2 有 一個(gè) RS 觸發(fā)器 R 和 S 高電平有效 三極管 VT1 對(duì)清零起跟隨作用 起緩沖 作用 三極管 VT2 是放電管 將對(duì)外電路的元件提供放電通路 比較器的輸入 端有一個(gè)由三個(gè) 5kW 電阻組成的分壓器 由此可以獲得 和 兩個(gè)分壓值 一般稱為閾值 555 定時(shí)器的 1 腳是接地端 GND 2 腳是低觸發(fā)端 TL 3 腳 是輸出端 OUT 4 腳是清除端 Rd 5 腳是電壓控制端 CV 6 腳是高觸發(fā)端 TH 7 腳是放電端 DIS 8 腳是電源端 VCC 555 定時(shí)器的輸出端電流可以達(dá) 到 200mA 因此可以直接驅(qū)動(dòng)與這個(gè)電流數(shù)值相當(dāng)?shù)呢?fù)載 如繼電器 揚(yáng)聲器 發(fā)光二極管等 當(dāng) TH 高觸發(fā)端 6 腳加入的電平大于 TL 低觸發(fā)端 2 腳的電平大于 時(shí) 比較器 A1 輸出高電平 比較器 A2 輸出低電平 觸發(fā)器置 0 放電管飽 和 7 腳為低電平 當(dāng) TH 高觸發(fā)端加入的電平小于 TL 低觸發(fā)端的電平大于 時(shí) 比較器 A1 輸出低電平 比較器 A2 輸出低電平 觸發(fā)器狀態(tài)不變 仍維持前 一行的電路狀態(tài) 輸出低電平 放電管飽和 7 腳為低電平 當(dāng) TH 高觸發(fā)端 6 腳加入的電平小于 TL 低觸發(fā)端的電平小于 時(shí) 比較器 A1 輸出低電平 比較器 A2 輸出高電平 觸發(fā)器置 1 輸出高電平 放電管截止 7 腳為高電平 因 7 腳為集電極開路輸出 所以工作時(shí)應(yīng)有外接 上拉電阻 故 7 腳為高電平 當(dāng)從功能表的最后一行向倒數(shù)第二行變化時(shí) 電路的輸出將保持最后 一行的狀態(tài) 即輸出為高電平 7 腳高電平 只有高觸發(fā)端和低觸發(fā)端的電平 變化到倒數(shù)第三行的情況時(shí) 電路輸出的狀態(tài)才發(fā)生變化 即輸出為低電平 7 腳為低電平 第七章 程序設(shè)計(jì) 程序流程圖 主程序 第八章 組裝和調(diào)試過程 在電路板上按整機(jī)框圖把主控制器 計(jì)數(shù)器 信號(hào)燈譯碼器 數(shù)子顯示譯 碼器和秒脈沖信號(hào)發(fā)生器焊接好然后按以下步驟進(jìn)行調(diào)試 1 秒脈沖信號(hào)發(fā)生器的調(diào)試 按照數(shù)字電子鐘的方法逐級(jí)調(diào)試振蕩電路 和分頻電路 使輸出設(shè)計(jì)符合設(shè)計(jì)要求 2 將秒脈沖信號(hào)送入主控制器的 CP 端 觀察主控制器的狀態(tài)是否是按 00 01 10 11 00 的規(guī)律變化 3 將秒脈沖信號(hào)送入計(jì)數(shù)器的 CP 端 接入主控制器的狀態(tài)信號(hào) X0 X1 并把主控制器的狀態(tài)信號(hào)送入主控制器的 CP 端 觀察計(jì)說器是否按 30 秒 5 秒 20 秒 5 秒 30 秒 循環(huán)計(jì)數(shù) 4 把主控制器的狀態(tài)轉(zhuǎn)換信號(hào) X1 X0 接至信號(hào)燈的譯碼電路 觀察 6 個(gè) 發(fā)光二極管是否按設(shè)計(jì)要求發(fā)光 5 整機(jī)聯(lián)調(diào) 使交通信號(hào)燈控制電路正常工作 以上是本次設(shè)計(jì)的全過程 由以上分析知此設(shè)計(jì)所需材料有 3 片 74LS90 2 片 CD4511 和 2 個(gè)共陰數(shù)碼管 1 個(gè) 555 定時(shí)器 3 片 74LS04 1 片 74LS20 1 片 74LS00 1 片 74LS10 14 個(gè) 470 ohm 電阻 2 個(gè) 4 7k 電阻 6 個(gè) 390 ohm 電阻 1 個(gè) 100UF 電容 1 個(gè) 0 01UF 電容和導(dǎo)線若干 第九章 總 結(jié) 通過單片機(jī)課程設(shè)計(jì) 我不僅加深了對(duì)單片機(jī)理論的理解 將理論很好地 應(yīng)用到實(shí)際當(dāng)中去 而且我還學(xué)會(huì)了如何去培養(yǎng)我們的創(chuàng)新精神 從而不斷地 戰(zhàn)勝自己 超越自己 創(chuàng)新 是要我們學(xué)會(huì)將理論很好地聯(lián)系實(shí)際 并不斷地 去開動(dòng)自己的大腦 從為人類造福的意愿出發(fā) 做自己力所能及的 別人卻沒 想到的事 使之不斷地戰(zhàn)勝別人 超越前人 同時(shí) 更重要的是 我在這一設(shè) 計(jì)過程中 學(xué)會(huì)了堅(jiān)持不懈 不輕易言棄 設(shè)計(jì)過程 也好比是我們?nèi)祟惓砷L(zhǎng) 的歷程 常有一些不如意 也許這就是在對(duì)我們提出了挑戰(zhàn) 勇敢過 也戰(zhàn)勝 了 勝利的鐘聲也就一定會(huì)為我們而敲響 這個(gè)設(shè)計(jì)過程中 我遇到過許多次 失敗的考驗(yàn) 就比如 自己對(duì)實(shí)際生活中的交通秩序的不了解給整個(gè)設(shè)計(jì)帶來 的困擾 真想要就此罷休 然而 就在想要放棄的那一刻 我明白了 原來結(jié) 果并不那么重要 我們更應(yīng)該注重的是這一整個(gè)過程 于是 我堅(jiān)持了下來 當(dāng)然最終 這個(gè)設(shè)計(jì)很成功 主要體現(xiàn)在 這一整個(gè)系統(tǒng) 幾乎沒有參考任何 書 程序由自己獨(dú)立完成 與用 8255 來制作的交通燈控制系統(tǒng)相比 程序簡(jiǎn) 單易讀 結(jié)構(gòu)清楚 最重要的是成本低 在設(shè)計(jì)一個(gè)系統(tǒng) 除了達(dá)到所要求的 性能指標(biāo)以外 成本也是很重要的一個(gè)指標(biāo) 成本的高低也決定了產(chǎn)品的適用 性 參 考 文 獻(xiàn) 1 童詩白 華成英 模擬電子技術(shù)基礎(chǔ) 第四版 2 閻石 數(shù)字電子技術(shù)基礎(chǔ) 第五版 單片機(jī)原理與應(yīng)用 主編 張?chǎng)蔚?電子工業(yè)出版社 微機(jī)原理 匯編與接口技術(shù) 朱定華 清華大學(xué)出版社 2005 微型計(jì)算機(jī)系統(tǒng)原理及應(yīng)用 楊素行 清華大學(xué)出版社 1995 10 微型計(jì)算機(jī)原理及運(yùn)用 譚浩強(qiáng) 清華大學(xué)出版社 2006 1 李珍香 劉紅梅 趙潤(rùn)林 匯編語言課程設(shè)計(jì)案例精編 北京 中國(guó)水利水電出版社 2004 3 2 卜艷萍 周偉 匯編語言程序設(shè)計(jì)教程 北京 清華大學(xué)出版社 2007 3 沈美明 溫冬嬋 IBM PC 匯編語言程序設(shè)計(jì) 北京 清華大學(xué)出版社 2001 4 秦蓮 匯編語言程序設(shè)計(jì)實(shí)訓(xùn)教程 北京 清華大學(xué)出版社 2005 5 王爽 匯編語言 北京 清華大學(xué)出版社 2008 附錄 1 程序清單 主程序 ORG 0000H AJMP MAIN ORG 0030H MAIN MOV SP 60H MOV SCON 00H 設(shè)置串行口工作方式為方式 0 CLR TI CLR RI MOV A 09H 置初態(tài) 東南西北都為紅燈亮 MOV P1 A MOV R2 4 LCALL DELAY 調(diào)用 4 次 1 秒的延時(shí)子程序 H1 MOV A 0CH 東西綠燈亮 南北紅燈亮 MOV P1 A MOV R2 10 LCALL DELAY 調(diào)用 10 次 1 秒的延時(shí)子程序 MOV R7 03H 黃燈閃爍次數(shù)為 3 次 H2 MOV A 0AH 東西黃燈閃 南北紅燈亮 MOV P1 A MOV R2 1 LCALL DELAY 每 1 秒閃爍一次 MOV A 18H 南北紅燈亮 南北黃燈也閃 MOV P1 A MOV R2 1 LCALL DELAY 調(diào)用 1 秒的延時(shí)子程序 DJNZ R7 H2 判斷閃爍次數(shù)是否達(dá)到 MOV A 21H 東西紅燈亮 南北綠燈亮 MOV P1 A MOV R2 10 LCALL DELAY 延時(shí) 10 秒 MOV R7 03H H3 MOV A 11H 東西紅燈亮 南北黃燈閃 MOV P1 A MOV R2 1 LCALL DELAY MOV A 03H 東西紅燈亮 東西黃燈閃 MOV P1 A MOV R2 1 LCALL DELAY DJNZ R7 H3 判斷黃燈閃的次數(shù)是否達(dá)到 LJMP H1 循環(huán) 1 秒的延時(shí)子程序 DELAY MOV R3 20 定時(shí) 1 秒 MOV TMOD 10H T1 定時(shí)器 方式 1 MOV TH1 3CH MOV TL1 0B0H SETB TR1 LOOP JBC TF1 NEXT SJMP LOOP NEXT MOV TL1 080H MOV TH1 3CH DJNZ R3 LOOP 數(shù)據(jù)傳送 DEC R2 R2 自動(dòng)減 1 MOV DPTR CDATA 置表初地址 MOV A R2 屏蔽 R2 的高位 SWAP A ANL A 0FH MOVC A A DPTR 讀表格數(shù)據(jù) CPL A 取反 MOV SBUF A 串行發(fā)送數(shù)據(jù) AA1 JBC TI A1 SJMP AA1 A1 MOV A R2 ANL A 0FH MOVC A A DPTR CPL A MOV SBUF A AAB1 JBC TI AB1 SJMP AAB1 AB1 CJNE R2 00H DELAY 當(dāng) R2 為 0 時(shí)返回 不為 0 時(shí) 則跳轉(zhuǎn) RET CDATA DB 03H 9FH 25H 0DH 99H 49H 41H 1FH 01H 09H END 附錄 2 交通信號(hào)燈控制系統(tǒng)總體框圖

注意事項(xiàng)

本文(交通信號(hào)燈課程設(shè)計(jì).doc)為本站會(huì)員(xin****828)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!