九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

數(shù)碼管顯示控制器 數(shù)字電子技術課程設計

  • 資源ID:72128534       資源大?。?span id="24d9guoke414" class="font-tahoma">21.50KB        全文頁數(shù):3頁
  • 資源格式: DOC        下載積分:5積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要5積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

數(shù)碼管顯示控制器 數(shù)字電子技術課程設計

數(shù)學與計算機科學學院數(shù)字電子技術課程設計課程設計題題目:數(shù)碼管顯示控制器姓名:黃鳳丹學號:P091712692班級:09計算機科學與技術3班題目:數(shù)碼管顯示控制器一、 設計目的1、了解與課程有關的電子電路以及元器件工程技術規(guī)范,能按課程設計任務書的技術要求,編寫設計說明,能正確反映設計和實驗成果,能正確繪制電路圖。2、掌握74138譯碼器、74160計數(shù)器等的邏輯功能,掌握用7448驅動BS201A的連接方法。3、掌握五三二譯碼器電路設計的設計方法,三十進制計數(shù)器電路設計的設計方法,7447七段譯碼顯示電路設計的設計方法。二、設計要求1、能自動一次顯示出數(shù)字0、1、2、3、4、5、6、7、8、9(自然數(shù)列),1、3、5、7、9(奇數(shù)列),0、2、4、6、8(偶數(shù)列),0、1、2、3、4、5、6、7、0、1(音樂符號序列);然后再從頭循環(huán)。2、打開電源自動復位,從自然數(shù)列開始顯示。 三、方案設計與論證總體上 ,所設計的數(shù)碼管顯示控制器 由模型(model)和7447七段譯碼器兩部分構成(如下圖所示)。其中七段譯碼器 由系統(tǒng)提供,共有四位二進制數(shù)據(jù)輸入(A0 A1 A2 A3)由model中的四個輸出分別提供1、總體設計方案框圖及分析Model模塊的功能要求,產(chǎn)生固定循環(huán)的數(shù)字序列。它由五三二譯碼器電路和 三十進制計數(shù)器??梢钥紤]將序列信號的產(chǎn)生分成若干個模塊,然后通過一個循環(huán)控制器(在此使用計數(shù)器)的控制,使其輪流工作,并使其在工作結束后置零。這樣雖然設計略顯復雜,但是能夠適應較為復雜的顯示要求顯示電路7447七段譯碼三十進制計數(shù)器五三二譯碼器2、五三二譯碼器電路設計五三二譯碼器是由4片74138構成的4線32譯碼器,將輸入的四位二進制BCD代碼A3 A2 A1 A0譯成32位獨立的低電平信號Z0Z31。五三二譯碼器連接簡單,方便,價格也很便宜,因此我們在實驗中經(jīng)常用到。下圖為實驗連接電路圖。3、三十進制計數(shù)器電路設計 設計電路圖如下:左片為十進制計數(shù)器,右片為三進制計數(shù)器,當左片有進位信號輸出時,右片開始工作,所以電路為三十進制計數(shù)器,倆片之間為十進制.學會設計這種計數(shù)器是我們必須掌握的。4、7447七段譯碼顯示電路設計七段譯碼器是用7447驅動BS201A,用譯碼器將BCD代碼譯成數(shù)碼管所需要的驅動信號,以便使數(shù)碼管用十進制數(shù)顯示出BCD代碼所表示的值。下圖為連接電路圖:5、顯示電路設計下圖是八個七段譯碼器的連接圖。 6、整體電路圖及工作原理整個控制器的核心部件model模塊。其由一個三十進制計數(shù)器和一個五三十二譯碼器構成。計數(shù)器在固定頻率的脈沖clock作用下產(chǎn)生從小到大的三十個自然序列(從零到二十九),由Y1 Y2 Y3 Y4引出給譯碼器的IN1 IN2 IN3 IN4。譯碼器則將這些自然序列譯成需要的數(shù)據(jù)序列(自然序列、奇數(shù)列、偶數(shù)列、音樂符號序列),如圖由data1 data2 data3 data4輸出7、電路參數(shù)計算各分電路均為門電路,并無電阻、電容、電感等參數(shù)元件。8、整機電路性能分析考慮將序列信號的產(chǎn)生分成若干個模塊,然后通過一個循環(huán)控制器(在此使用計數(shù)器)的控制,使其輪流工作,并使其在工作結束后置零。這樣雖然設計略顯復雜,但是能夠適應較為復雜的顯示要求。四、設計總結 1、在課程設計中熟悉并且學會運用EWB電路仿真軟件做簡單的畫圖工作。 2、學會使用一些元器件設計做簡單的電路設計,使許多不懂的地方了解的更加深刻。并在設計過程中向同學征求意見,彌補自己的不足之處。五、參考文獻1、百度網(wǎng)站 2、數(shù)字電子技術基礎/閻石主編;清華大學電子學教研組編.-5版.-北京:高等教育出版社,2006.5(2010年重?。?3、通用集成電路速查手冊 4、數(shù)字電子技術基礎實驗

注意事項

本文(數(shù)碼管顯示控制器 數(shù)字電子技術課程設計)為本站會員(dus****log)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。 若此文所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復下載不扣分。




關于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對上載內容本身不做任何修改或編輯。若文檔所含內容侵犯了您的版權或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!