九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

《數(shù)字搶答器設(shè)計》word版

  • 資源ID:75928447       資源大?。?span id="24d9guoke414" class="font-tahoma">112.28KB        全文頁數(shù):17頁
  • 資源格式: DOCX        下載積分:8積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要8積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認(rèn)打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

《數(shù)字搶答器設(shè)計》word版

數(shù)字搶答器設(shè)計摘 要隨著科學(xué)研究的不斷深入,學(xué)習(xí)科學(xué)、技術(shù)、知識的手段日益增加。搶答器作為一種工具,在智力和知識競賽場合已經(jīng)得到了廣泛的應(yīng)用。因此,搶答器的設(shè)計成為研究的熱點。本文基于74系列的常用集成電路設(shè)計了一種多功能數(shù)顯搶答器,該搶答器除了具有基本的搶答功能之外,還具有定時報警、數(shù)顯的功能。在設(shè)計的過程中考慮了八路搶答器,的電路組成、設(shè)計思路及功能。搶答器主要由搶答模塊、定時模塊和報警模塊組成,利用Multisim10軟件對所設(shè)計的電路進(jìn)行仿真,結(jié)果得到當(dāng)預(yù)設(shè)過供搶答的時間,系統(tǒng)將完成自動倒計時。若在規(guī)定的時間內(nèi)有人搶答,則計時將自動停止;若在規(guī)定的時間內(nèi)無人搶答,則系統(tǒng)中的蜂鳴器將發(fā)響,提示主持人本輪搶答無效,實現(xiàn)報警功能。關(guān)鍵詞:八路搶答器;EDA;Multisim10;報警;數(shù)顯The design of digital answering racerABSTRACTWith the deepening of the scientific research, means of learning science, technology, knowledge getting more numerous.The figure vies for the answering device as a tool has been widely used in the intelligence and knowledge competition situation. Therefore, the design of the figure vies for the answering device is becoming a hot spot of research. Based on common-used series of integrated circuit ,this paper design a multi-function digital display responder. The answering races function includes timing, counting, and alarming, besides the basic function of an answering racer. Considered circuit composition, function and design ideas in the design process of the eight-way responder. The designed buzzer is mainly composed of vies to answer first module, timing module and alarming modul. Through the Multisim10 to carry on the design of simulation, the experimental results show when the time of buzzer has preset, the system will complete automatic countdown. If nobody answer the question on time, the alarm will give out some sound, helping the host know the race in this turn is of no use, so the function of alarming is achieved.Keywords:eight-way responder; Multisim10; alarming; digital display 目 錄一 、引言21.1研究背景及意義21.2 研究現(xiàn)狀31.3本文主要工作4二 、Multisim10以及搶答器的簡介52.1 Multisim10的簡介52.1.1 Multisim10 界面基本操作52.1.2 Multisim10 使用的注意事項92.2 搶答器的簡介92.2.1 搶答器的需求分析92.2.2 搶答器的工作流程9三 、電路設(shè)計的具體實現(xiàn)123.1 系統(tǒng)概述123.1.1搶答器的組成框圖123.1.2搶答器的基本功能以及擴展功能133.2 單元電路設(shè)計和分析143.2.1搶答電路的設(shè)計143.2.2定時電路的設(shè)計153.2.3報警電路的設(shè)計173.2.3整機電路17四 、仿真實驗194.1搶答電路仿真194.2定時電路仿真204.3報警電路仿真214.4系統(tǒng)故障的分析234.1.1搶答鎖存顯示電路故障開路234.1.2定時顯示報警電路故障短路234.1.3時鐘信號產(chǎn)生電路故障開路24五、總結(jié)26致謝27參考文獻(xiàn)28一 、引言1.1研究背景及意義當(dāng)今的社會競爭日益激烈,選拔人才,評選優(yōu)勝,知識競賽之類的活動愈加頻繁,那么也就必然離不開搶答器。搶答器作為一種能夠直觀、精確、公正地判斷搶答者的機器已經(jīng)普遍出現(xiàn)在人們?nèi)粘8傎惡臀捏w娛樂活動中,它為各種競賽添加了刺激性、娛樂性,同時在一定程度上豐富了人們的業(yè)余生活。而現(xiàn)在的搶答器有著數(shù)字化1,智能化的方向發(fā)展23,這就必然提高了搶答器的成本。鑒于現(xiàn)在小規(guī)模的知識競賽越來越多,操作簡單,經(jīng)濟實用的小型搶答器必將大有市場。本搶答器通過十分巧妙的設(shè)計僅用兩塊數(shù)字芯片便實現(xiàn)了數(shù)顯搶答的功能,與其他搶答器電路相比較有分辨時間極短、結(jié)構(gòu)清晰,成本低、制作方便等優(yōu)點,并且還有防作弊功能。因此,我們制作了這款簡易八路搶答器屏棄了成本高,體積大,而且操作復(fù)雜。我們采用了數(shù)字顯示器直接指示,自動鎖存顯示結(jié)果,并自動復(fù)位的設(shè)計思想,因而本搶答器具有顯示直觀,不需要人干預(yù)的特點。而且在顯示時搶答器會發(fā)出叮咚聲使效果更為生動。工廠、學(xué)校和電視臺等單位常舉辦各種智力競賽, 搶答記分器是必要設(shè)備。1.2 研究現(xiàn)狀隨著我國搶答器市場的迅猛發(fā)展,與之相關(guān)的核心生產(chǎn)技術(shù)應(yīng)用與研發(fā)必將成為業(yè)內(nèi)企業(yè)關(guān)注的焦點。技術(shù)工藝,是衡量一個企業(yè)是否具有先進(jìn)性,是否具備市場競爭力,是否能不斷領(lǐng)先于競爭者的重要指標(biāo)依據(jù)。了解國內(nèi)外搶答器生產(chǎn)核心技術(shù)的研發(fā)動向、工藝設(shè)備、技術(shù)應(yīng)用及趨勢對于企業(yè)提升產(chǎn)品技術(shù)規(guī)格,提高市場競爭力十分關(guān)鍵。目前市場上搶答器種類繁多,功能各異,價格差異也很大。那么選擇一款真正適合的搶答器就非常重要。搶答器一般分為電子搶答器和電腦搶答器4-5。電子搶答器的中心構(gòu)造一般都是由搶答器由數(shù)字電子集成電路組成,其搭配的配件不同又分為,非語音非記分搶答器和語音記分搶答器。非語音記分搶答器構(gòu)造很簡單,就是一個搶答器的主機和一個搶答按鈕組成,在搶答過程中選手是沒有記分的顯示屏。語音記分搶答器是由一個搶答器的主機、主機的顯示屏以及選手的記分顯示屏等構(gòu)成,具有記分等功能。電子搶答器多適用于學(xué)校和企事業(yè)單位舉行的簡單的搶答活動。電腦搶答器又分為無線電腦搶答器和有線電腦搶答器。無線電腦搶答器的構(gòu)成是由:主機和搶答器專用的軟件和無線按鈕。無線電腦搶答器利用電腦和投影儀,可以把搶答氣氛活躍起來,一般多使用于電臺等大型的活動。有線電腦搶答器也是由主機和電腦配合起來,電腦再和投影儀配合起來,利用專門研發(fā)的配套的搶答器軟件,可以十分完美的表現(xiàn)搶答的氣氛。搶答器作為一種電子產(chǎn)品,早已廣泛應(yīng)用于各種智力和知識競賽場合,但目前所使用的搶答器有的電路較復(fù)雜不便于制作,可靠性低,實現(xiàn)起來很困難;有的則用一些專用的集成塊,而專用集成塊的購買又很困難。而本文所設(shè)計的多功能搶答器數(shù)碼顯示八路搶答器具有電路簡單,元件普通,易于購買等優(yōu)點,很好地解決了制作者制作困難和難于購買的問題。且在國內(nèi)外已經(jīng)開始了普遍的應(yīng)用。1.3本文主要工作本文基于傳統(tǒng)的電路設(shè)計分析,利用74系列常用集成電路設(shè)計了一種多功能數(shù)顯搶答器。該搶答器可同時供8位選手或8個代表隊參賽,每隊各用一個搶答器按鍵,搶答器具備的功能有:(1)節(jié)目主持人可以通過設(shè)置控制開關(guān)來控制系統(tǒng)的清零和搶答開始。(2)搶答器還具有數(shù)據(jù)鎖存和顯示功能。搶答開始后,若有人按動搶答器按鍵,編號立即鎖存,并顯示出選手的號碼,同時給出音響提示。此外要封鎖其它輸入電路,禁止其它選手搶答;(3)搶答器具有定時搶答功能。即每次搶答的時間可以由主持人設(shè)定。當(dāng)主持人啟動開始后,要求定時器減計數(shù)。參賽選手在規(guī)定的時間內(nèi)搶答有效,如果無人搶答則本次無效。二 、Multisim10以及搶答器的簡介2.1 Multisim10的簡介Multisim6-7是加拿大圖像交互技術(shù)公司(Interactive Image Technologies,簡稱IIT公司,原Electronics Workbench公司)推出的基于Windows的虛擬仿真軟件,適用于板級的模擬電路板和數(shù)字電路板設(shè)計。Multisim軟件是一個專門用于電子電路仿真與設(shè)計的EDA工具軟件。作為 Windows下運行的個人桌面電子設(shè)計工具,Multisim 是一個完整的集成化設(shè)計環(huán)境。為適應(yīng)不同的應(yīng)用場合,Multisim推出了許多版本適應(yīng)不同用戶的需要。Multisim軟件結(jié)合了直觀的捕捉和強大的功能仿真,可以對所設(shè)計的電路進(jìn)行全面驗證。Multisim 軟件是迄今為止,在電路級仿真張表現(xiàn)最為出色的軟件,有了Multisim軟件就相當(dāng)于擁有了一個設(shè)備齊全的實驗室,可以非常方便的從事電路設(shè)計、仿真、分析等工作。Multisim軟件前身是IIT公司在20世紀(jì)八十年代后期推出的電路仿真軟件EWB(Electronics Workbench),后來,EWB將原先版本中的仿真設(shè)計模塊更名為multisim,之后又相繼推出了multisim2001、multisim 7等各個版本。2005年以后,加拿大IIT公司隸屬于美國國家儀器公司(National Instrument,簡稱NI公司),美國NI公司于2006年初首次推出Multisim9.0版本。目前最新版本是美國NI公司推出的Multisim10。相對于其它EDA軟件,它具有更加形象直觀的人機交互界面,并且提供更加豐富的元件庫、儀表庫和各種分析方法。完全滿足電路的各種仿真需要。NI Multisim10軟件則不僅僅局限于電子電路的虛擬仿真,其在LabVIEW虛擬儀器、單片機仿真等技術(shù)方面都有更多的創(chuàng)新和提高,屬于EDA技術(shù)的更高層次范疇。 Multisim10 界面基本操作1. 啟動Multisim 10雙擊桌面上的Multisim快捷方式或選擇程序菜單中的Multisim選項,即可進(jìn)入,進(jìn)入界面如圖2-1所示。圖2-1 Multisim10 啟動界面2. Multisim編輯界面編輯界面中各窗口功能如圖2-2所示。其中軟件選項的設(shè)置可單擊“主菜單欄”中的“option”選項,選擇“Global Preferences”,出現(xiàn)圖2-3的對話窗口,Symbol standard選項中ANSI中的電阻符號如圖2-4中的(a)圖所示,DIN中的電阻符號如圖2-4中的(b)圖所示。圖2-2 編輯界面圖圖2-3 選項窗口 (a)ANSI中的電阻 (b)DIN中的電阻圖2-4 Symbol standard選項電阻符號的表達(dá)3. 元器件庫的操作元器件庫中元件符號所對應(yīng)的元件名稱如圖2-1所示。圖2-5元件符號及其名稱4. 儀表庫操作Multisim中的儀表調(diào)用十分簡單,從儀表庫中單擊要調(diào)用的儀表,光標(biāo)附著儀表,移動光標(biāo)到目標(biāo)位置,單擊鼠標(biāo)左鍵放置儀表,完成儀表調(diào)用,儀表庫如圖2-6所示。圖2-6 Multisim中儀表庫2.1.2 Multisim10 使用的注意事項Multisim10在使用時主要的注意事項包括:(1) 不要長時間使軟件處于仿真狀態(tài),以免死機;(2) 刪除元件、儀器、連線等,一定要在斷開仿真開關(guān)的情況下進(jìn)行;(3) 注意數(shù)字地與模擬地的差別2.2 搶答器的簡介2.2.1 搶答器的需求分析1、 在搶答中,只有開始后搶答才有效,如果在開始搶答前搶答為無效。2、 搶答時間和回答問題的時間是有限制的,在搶答器工作的時候可以對其進(jìn)行設(shè)置。3、 搶答器可以顯示是哪位選手有效搶答和無效搶答,正確按鍵后有音樂提示。4、 搶答時間和回答問題時間倒記時顯示,時間完后系統(tǒng)自動復(fù)位。5、 按鍵鎖定,在有效狀態(tài)下,按鍵無效非法。2.2.2 搶答器的工作流程搶答器的基本工作原理8-12:在搶答競賽或呼叫時,有多個信號同時或不同時送入主電路中,搶答器內(nèi)部的寄存器工作,并識別、記錄第一個號碼,同時內(nèi)部的定時器開始工作,記錄有關(guān)時間并產(chǎn)生超時信號。在整個搶答器工作過程中,顯示電路、語音電路等還要根據(jù)現(xiàn)場的實際情況向外電路輸出相應(yīng)信號。搶答器的工作流程分為、系統(tǒng)復(fù)位、正常流程、違例流程等幾部分,如圖2-2所示。圖2-7 搶答器的工作流程圖一般搶答器的工作過程可簡述為:1、如果想調(diào)節(jié)搶答時間或答題時間,按"加一"鍵或"減一"鍵進(jìn)入調(diào)節(jié)狀態(tài),LED數(shù)碼管會顯示現(xiàn)在設(shè)定的搶答時間或回答時間值,如想加一秒按一下"加1s"鍵,如果想減一秒按一下"減1s"鍵,LED上會顯示改變后的時間,如果調(diào)整范圍為099s, 0s時再減1s會跳到99,99s時再加1s會變到0s。 2、主持人若按"搶答開始"鍵,會有提示音,并立刻進(jìn)入搶答倒計時,如有選手搶答,會有提示音,并會顯示其號數(shù)并立刻進(jìn)入回答倒計時,不進(jìn)行搶答查詢,所以只有第一個按搶答的選手有效。倒數(shù)時間到小于設(shè)定值會每秒響一下提示音。 3、若倒計時期間,主持人想停止倒計時可以隨時按"停止"按鍵,系統(tǒng)會自動進(jìn)入準(zhǔn)備狀態(tài),等待主持人按"搶答開始"進(jìn)入下次搶答計時。 4、如果主持人未按"搶答開始"鍵,而有人按了搶答按鍵,犯規(guī)搶答,LED上不斷閃爍FF和犯規(guī)號數(shù)并響個不停,直到按下"停止" 鍵為止。 三 、電路設(shè)計的具體實現(xiàn)3.1 系統(tǒng)概述3.1.1搶答器的組成框圖定時搶答器的總體框圖如圖 1 所示,它由主體電路和擴展電路兩部分組成。主體電路完成基本的搶答功能,即開始搶答后,當(dāng)選手按動搶答鍵時,能顯示選手的編號,同時能封鎖輸入電路,禁止其他選手搶答。擴展電路完成定時搶答的功能。圖 1 所示的定時搶答器的工作過程是:接通電源時,節(jié)目主持人將開關(guān)置于“清除”位置,搶答器處于禁止工作狀態(tài),編號顯示器滅燈,定時顯示器顯示設(shè)定的時間,當(dāng)節(jié)目主持人宣布搶答題目后,說一聲“搶答開始”,同時將控制開關(guān)撥到“開始”位置,揚聲器給出聲響提示,搶答器處于工作狀態(tài),定時器倒計時。當(dāng)定時時間到,卻沒有選手搶答時,系統(tǒng)報警,并封鎖輸入電路,禁止選手超時后搶答。當(dāng)選手在定時時間內(nèi)按動搶答鍵時,搶答器要完成以下四項工作:優(yōu)先編碼電路立即分辨出搶答者的編號,并由鎖存器進(jìn)行鎖存,然后由譯碼顯示電路顯示編號;揚聲器發(fā)出短暫聲響,提醒節(jié)目主持人注意;控制電路要對輸入編碼電路進(jìn)行封鎖,避免其他選手再次進(jìn)行搶答;控制電路要使定時器停止工作,時間顯示器上顯示剩余的搶答時間,并保持到主持人將系統(tǒng)清零為止。當(dāng)選手將問題回答完畢,主持人操作控制開關(guān),使系統(tǒng)回復(fù)到禁止工作狀態(tài),以便進(jìn)行下一輪搶答。圖3-1 搶答器總體總體框圖搶答器的原理可簡述為:八路數(shù)字搶答器主要由搶答電路、定時電路、秒脈沖產(chǎn)生電路和報警電路組成。搶答電路設(shè)有八組按鈕同時供八名或八組選手搶答使用,搶答開始后,優(yōu)先搶答的選手的搶答信號被鎖存器鎖存并能禁止其他選手重復(fù)搶答,被鎖存的選手搶答信號經(jīng)由搶答電路的優(yōu)先編碼器編碼,再由譯碼電路譯碼后,最后在數(shù)碼管中顯示該選手的編號。搶答系統(tǒng)設(shè)置一個控制和清零開關(guān),主持人控制開關(guān)通過控制電路對搶答過程進(jìn)行控制。此外搶答電路設(shè)置了定時搶答功能,主持人通過具有置數(shù)和清零功能的同步十進(jìn)制減計數(shù)器74LS192置數(shù)端輸入時間,經(jīng)由譯碼電路并最終在LED數(shù)碼管中顯示。3.1.2搶答器的基本功能以及擴展功能(1)基本功能設(shè)計一個智力競賽搶答器,可同時供 8 名選手或 8 個代表隊參加比賽,他們的編號分別是0、1、2、3、4、5、6、7,各用一個搶答按鈕,按鈕的編號與選手的編號相對應(yīng),分別是 So、S1、S2、S3、S4、S5、S6、S7。給節(jié)目主持人設(shè)置一個控制開關(guān),用來控制系統(tǒng)的清零(編號顯示數(shù)碼管滅燈)和搶答的開始。搶答器具有數(shù)據(jù)鎖存和顯示的功能。搶答開始后,若有選手按動搶答按鈕,編號立即鎖存,并在 LED 數(shù)碼管上顯示出選手的編號,同時蜂鳴器給出音響提示。此外,要封鎖輸入電路,禁止其他選手搶答。優(yōu)先搶答選手的編號一直保持到主持人將系統(tǒng)清零為止。(2)擴展功能搶答器具有定時搶答的功能,且一次搶答的時間可以由主持人設(shè)定(如 30s)。當(dāng)節(jié)目主持人啟動“開始”鍵后,要求定時器立即減計時,并用顯示器顯示,同時蜂鳴器發(fā)出聲響。 參賽選手在設(shè)定的時間內(nèi)搶答,搶答有效,定時器停止工作,顯示器上顯示選的編號和搶答時刻的時間,并保持到主持人將系統(tǒng)清零為止。 如果定時搶答的時間已到,卻沒有選手搶答時,本次搶答無效,系統(tǒng)短暫報警,并封鎖輸入電路,禁止選手超時后搶答,時間顯示器上顯示 00。3.2 單元電路設(shè)計和分析3.2.1搶答電路的設(shè)計圖3-2 搶答電路搶答電路的功能有兩個:一是能分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號,供譯碼顯示電路用;二是要使其他選手的按鍵操作無效。使用的元器件為:8線3線編碼器74LS148,4RS鎖存器74LS279,7段共陰極顯示數(shù)碼管。其電路組成如圖3- 2 所示。其工作原理是:主持人鍵,控制整個電路,J10=0時全電路復(fù)位清零,J10=1時電路開始工作。74LS148的07端接收八個搶答者輸入的信號,即8個搶答按鈕AH,按鈕按下(接地)為搶答,未按下(通過上拉電阻接Vcc)為未搶答。74LS279中有4個RS鎖存器,四個RS鎖存器的R端均由主持人控制復(fù)位。第一個RS鎖存器的S端受74LS148的GS端控制,一旦有人搶答時,GS=0,第一個RS鎖存器的S1=0,則Q1=1,作為控制信號X,接74LS148的E端和74LS48的BI/RBO端,使74LS148不能再接收其他搶答信號,74LS48輸出也被鎖存在第一個搶答者的號碼上。第二、第三、第四個RS鎖存器的S端接收并鎖存74LS148的編碼輸出,再經(jīng)4位二進(jìn)制加法器74LS83進(jìn)行加1處理,給74LS148編碼輸出的07 加1,使八個搶答者的編號變?yōu)?8。該電路的工作流程為:J10復(fù)位后啟動,輸出顯示0;有人搶答(例如5號按鈕E),74LS148輸出A2A1A0=011,經(jīng)74LS279置數(shù)鎖存后,Q4Q3Q2=100,;經(jīng)加法器74LS83加1后輸出碼為101,七段數(shù)碼管輸出的七段abcdefg=1011011,七段數(shù)碼管顯示數(shù)字5;同時74LS279的第一個RS鎖存器輸出Q1=1,封鎖了74LS148和74LS48,之后即使再有人搶答電路也狀態(tài)也不會變化。當(dāng)主持人按下J10后,各元器件復(fù)位,數(shù)碼管顯示數(shù)字0,電路再次工作。在優(yōu)先編碼器電路中可以同時輸入兩個及其以上編碼的信號。但在設(shè)計優(yōu)先編碼器時已按照優(yōu)先的順序把其輸入信號排了隊,當(dāng)同時輸入幾個信號時,只針對其中最高優(yōu)先權(quán)的那個編碼。74LS148為8線-3線優(yōu)先編碼器,可將8條數(shù)據(jù)線進(jìn)行3線二進(jìn)制優(yōu)先編碼,即對最高為數(shù)據(jù)線進(jìn)行譯碼。8線-3線優(yōu)先編碼器74LS148真值表如表3-1所示:表3-18-3優(yōu)先編碼器74LS148真值表輸入輸出E1I0I1I2I3I4I5I6I7A2A1A0GSEO1xxxxxxxx11111011111111111100xxxxxxx0000010xxxxxx01001100xxxxx011010100xxxx0111011100xxx01111100100xx011111101100x01111111101000111111111110優(yōu)先編碼器工作原理:由上表中可以得出,74LS148的輸入端和輸出端低電平有效。I0I7為輸入信號,A2A0為三位二進(jìn)制編碼輸出信號。74LS148輸入端優(yōu)先級別的次序依次為I7,I6,I0 。當(dāng)某一輸入端有低電平輸入,且比它優(yōu)先級別高的輸入端沒有低電平輸入時,輸出端才輸出相應(yīng)該輸入端的代碼13。 74LS148邏輯方程: (3-1)(3-2)(3-3)3.2.2定時電路的設(shè)計該部分主要由 555 定時器秒脈沖產(chǎn)生電路、十進(jìn)制同步可逆計數(shù)器 74LS192 減法計數(shù)電路、74LS48 譯碼電路和 2 個 7 段數(shù)碼管及相關(guān)電路組成。完成的功能是當(dāng)主持人按下開始搶答按鈕后,進(jìn)行預(yù)定時間的倒計時,到 00s 時產(chǎn)生報警。當(dāng)有人搶答時,計時停止。兩塊 74LS192 實現(xiàn)減法計數(shù),通過譯碼電路 74LS48 顯示到數(shù)碼管上,其時鐘信號由時鐘產(chǎn)生電路提供。主持人根據(jù)題目難易程度通過74LS192 的預(yù)置數(shù)控制端輸入時間來規(guī)定搶答時間,脈沖電路產(chǎn)生并提供時鐘脈沖給計數(shù)器。當(dāng)按鍵開啟時,計數(shù)器開始工作,并進(jìn)行減法計數(shù),在共陰極七段數(shù)碼顯示管上輸出顯示計時的時間,如果期間有人搶答,停止計數(shù)并顯示計時時間;當(dāng)計時時間停止而且在這期間沒人搶答時,低電平信號將輸出到時序控制電路,并將控制報警電路發(fā)出報警提示,同時宣布此后重復(fù)搶答的選手搶答無效。555 構(gòu)成的是多諧振蕩器,為電路提供脈沖信號,保證倒計時電路即有 74LS192 可逆計數(shù)器構(gòu)成的倒數(shù)功能正常顯示。555芯片可以提供長度從毫秒以下到幾秒鐘的單脈沖,或者輸出占空比從050%的周期性信號。這個芯片本身可以兼容多種不同的輸入電壓14。兩片可逆計數(shù)器 74LS192 實現(xiàn)倒計時功能,同時通過發(fā)光二極管提示剩余時間。定時電路具體設(shè)計電路如圖3-3所示:圖3-3 可預(yù)置時間的定時電路74LS192是具有置數(shù)和清零功能的同步十進(jìn)制減計數(shù)器,功能表如表3-3所示:表3-374LS192功能表輸入輸出MRCPUCPDP3P2P1P0Q3Q2Q1Q01×××××××000000××dcbadcba011××××加計數(shù)011××××減計數(shù)3.2.3報警電路的設(shè)計由555定時器和三極管構(gòu)成的報警電路如下圖3-4所示,555定時器構(gòu)成的多諧震蕩器,振蕩頻率fo143(RI2R2)C,其輸出信號經(jīng)三極管推動揚聲器,PR為控制信號,PR為高電平時,多諧震蕩器工作,否則震蕩器振停。定時器的主要功能取決于比較器的輸出控制RS觸發(fā)器和放電BJT的狀態(tài)。4為復(fù)位端,當(dāng)4為低電平時,不管其他輸入端的狀態(tài)如何,輸出為低電平。因此在正常工作時,應(yīng)將其接高電平。報警電路原理圖如下圖3-4所示:圖3-4 報警電路3.2.3整機電路將三個電路進(jìn)行封裝整合,形成一個整體電路,如圖3-5所示。由于仿真速度較慢,故使用1KHz的時鐘信號取代1Hz的時鐘。實際安裝調(diào)試時,將SC4的clk_1Hz 接SC1的clk_1Hz即可正常使用。由于用于顯示時間的兩個數(shù)碼管管腳較多,使用總線減小空間。圖3-5 整機電路四 、仿真實驗作為虛擬的電子工作臺,Multisim提供了較為詳細(xì)的電路分析手段,以幫助設(shè)計人員分析電路的性能。Multisim的仿真功能十分強大,軟件的仿真具有多種仿真引擎、交互式方針、支持網(wǎng)表仿真以及電路一致性檢查的特點,分析結(jié)果在分析圖表窗口中直觀地表現(xiàn)出來15。用Multisim軟件對電路設(shè)計進(jìn)行仿真以驗證搶答器電路設(shè)計的正確性。操作步驟:(1)空格鍵控制搶答開始信號;(2)選擇搶答信號,按鍵AH分別控制8位選手的搶答信號。操作結(jié)果:1. 按下空格鍵開始搶答,數(shù)碼管U8、U6顯示搶答時間;2. 若24s內(nèi)有選手搶答,數(shù)碼管U5顯示搶答者編號;3. 若超過24s沒有選手搶答,蜂鳴器U12發(fā)出報警聲音,同時紅色LED點亮。4.1搶答電路仿真按照設(shè)計的電路圖,在Multisim軟件上相應(yīng)選擇對應(yīng)的芯片和數(shù)碼顯示管并按照電路圖連接電路后,假設(shè)5號選手為優(yōu)先搶答者,將代表5號選手的開關(guān)按鈕接低電平并保持其他開關(guān)按鈕處于高電平,即可得到如圖4-1所示仿真圖。圖4-1搶答電路仿真4.2定時電路仿真同樣首先按照電路設(shè)計圖在Multisim軟件上選擇74LS192和四輸入端數(shù)碼顯示管,按照前面設(shè)計出的定時電路連接電路,并將各控制端接能使定時電路正常進(jìn)行遞減計時的電平信號。開始仿真后,可以看到數(shù)碼顯示管上開始遞減計時,通過改變其置數(shù)端信號即可控制不同的遞減計時。仿真電路如圖,當(dāng)LOAD置1,時鐘脈沖由UP端輸入,當(dāng)DOWN輸入高電平時74LS192處于加計數(shù)狀態(tài),經(jīng)非門U5A,可逆計數(shù)器的CLR端輸入低電平,計數(shù)器從00做加計數(shù)(f=1s)。進(jìn)位端CO與另一74LS192時鐘脈沖UP端連接,計數(shù)滿十后輸出進(jìn)位脈沖給另一74LS192進(jìn)行進(jìn)位計數(shù)。如圖4-2即為能產(chǎn)生60秒計時的定時電路。圖4-2定時電路仿真4.3報警電路仿真按照秒脈沖設(shè)計電路在Multisim軟件上選擇相應(yīng)元件連接電路,并將連接好的脈沖產(chǎn)生電路連接到軟件提供的虛擬示波器上以進(jìn)行秒脈沖電路的仿真。連接電路如圖4-3:圖4-3秒脈沖電路仿真圖4-4秒脈沖電路仿真輸出波形上圖分別是秒脈沖產(chǎn)生電路的仿真圖(圖4-3),以及仿真時示波器上顯示的秒脈沖圖(圖4-4),按照電路可以產(chǎn)生如上圖所示波形,即可以實現(xiàn)預(yù)期功能。4.4系統(tǒng)故障的分析4.1.1搶答鎖存顯示電路故障開路輸入信號A的上拉電阻開路,如圖4-5。輸入信號A的上拉電阻是排阻R11的9號管腳,將其開路。分析電路可知,當(dāng)R11的9號管腳斷開,則74LS148的D0一直保持在低電平,相當(dāng)電路啟動后信號A馬上拉低,故搶答者編號始終為數(shù)字1,搶答時間為00。74LS148 D0管腳的電壓如圖4-6、4-7.圖4-7. 信號A的上拉電阻開路時74LS148的D0管腳電壓測量圖4-5. 輸入信號A的上拉電阻開路 圖4-6.輸入信號A的上拉電阻開路的仿真結(jié)果 4.1.2定時顯示報警電路故障短路令三極管2N2222的基極B和發(fā)射極E短路,則當(dāng)搶答時間達(dá)到24s時,由于三極管始終不能導(dǎo)通,SC4的Buzzer_A 和Buzzer_B引腳的電壓接近0,故蜂鳴器不會報警,LED燈也不會亮,如圖4-8所示。圖4-8. 三極管2N2222的B和E短路,及24s后SC4的Buzzer_A與Buzzer_B間的電壓4.1.3時鐘信號產(chǎn)生電路故障開路令1KHz時鐘電路555計時器的Vcc開路,則無法產(chǎn)生1KHz的時鐘脈沖,計時數(shù)碼管顯示時間為00,但搶答顯示電路仍舊可以正常工作。如圖4-9所示,搶答開始后2號選手搶答成功,但搶答時間始終為00。圖4-10為輸出信號,可以看出輸出為0.圖4-9. 555定時器Vcc開路,2號選手搶答成功,但搶答時間為0圖4-10. 555定時器Vcc開路時的時鐘輸出為0五、總結(jié)本文在正文部分首先簡單介紹了數(shù)字搶答器的相關(guān)知識背景,接著介紹了Multisim的發(fā)展歷史以及Multisim10的功能特點,闡明了數(shù)字搶答器設(shè)計的實際意義,明確了設(shè)計理論基礎(chǔ)和方法。經(jīng)總結(jié),整個電路的設(shè)計過程中的瓶頸是各個單元電路的連接及細(xì)節(jié)設(shè)計,在多種方案的選擇中,我們從系統(tǒng)的功能、原理、整體結(jié)構(gòu)、設(shè)計方法、注意事項等方面對搶答電路系統(tǒng)做了一定的分析研究,仔細(xì)比較和分析各方案的原理以及可行性,最后經(jīng)過多次對電路的改進(jìn)、上機仿真以及調(diào)試,使整個電路可穩(wěn)定工作。由于我本人學(xué)習(xí)設(shè)計方面存在的不足,在實驗中也遇到很多問題,例如:搶答鎖存顯示電路出現(xiàn)故障、定時顯示報警電路出現(xiàn)故障、時鐘信號產(chǎn)生電路出現(xiàn)故障等,但我沒有放棄,而是細(xì)心地查找錯誤,從原理分析做起,再分析各部分的組成與結(jié)構(gòu),最終找到解決問題的辦法。實驗中,一些元器件以前沒有用過,我通過虛心請教他人,以及上網(wǎng)查找相關(guān)資料,最終也掌握了這些元器件的使用方法。這次EDA課程設(shè)計不僅提高了我應(yīng)用Multisim 的能力,更重要的是我對設(shè)計電路產(chǎn)生了濃厚的興趣。雖然設(shè)計的過程會遇到問題,有些問題還會讓人焦急得抓狂,但是看到最后設(shè)計的電路完美地實現(xiàn)預(yù)期功能,心中的興奮之情也是無法言語的。多路搶答器作為一種基礎(chǔ)的設(shè)備,可以擴展它本身的功能,在不同領(lǐng)域發(fā)揮不同的作用。它的工作原理與現(xiàn)在市場上的設(shè)備是類似的,例如:道路違章自動檢測、醫(yī)院患者呼救系統(tǒng)等。本次設(shè)計采用的是數(shù)字電路設(shè)計,使用邏輯功能芯片進(jìn)行直接電路連接,從而實現(xiàn)不同的邏輯功能。但是隨著隨著社會科技水平的不斷提高,搶答器早就完成了電路全電子化的設(shè)計與制作,并且電子化電路都向著大規(guī)模集成電路的方向發(fā)展。因此,在后期的設(shè)計中可以利用單片機等工具制作搶答器。目前搶答器的用途較為狹窄,采用的單位也比較有限,因此可以再設(shè)計搶答器的時候增加一些附加的功能,例如:對每一個按鈕的搶答次數(shù)進(jìn)行統(tǒng)計,實現(xiàn)每個按鈕的計分功能等,讓搶答器作為一個更大的系統(tǒng)中的一個核心設(shè)備,來適應(yīng)不同的場合。也可以以搶答器為基礎(chǔ)衍生周邊設(shè)備,在生活中,存在很多“搶答”的現(xiàn)象,例如紅綠燈壓線拍攝、娛樂設(shè)施的計分器等等,這些都和搶答器息息相關(guān),實現(xiàn)一些周邊產(chǎn)品的功能只需要對搶答器進(jìn)行少許的硬件改造即可完成。致謝時光如梭,大學(xué)四年的學(xué)習(xí)生涯即將結(jié)束,河北大學(xué)給我提供了學(xué)習(xí)的方向以及環(huán)境。在畢業(yè)論文即將完成之即,我要感謝敬愛的導(dǎo)師丁振君副教授,在他的悉心指導(dǎo)下我完成了本次論文的撰寫。丁振君副教授在學(xué)術(shù)上有著嚴(yán)謹(jǐn)?shù)目蒲凶黠L(fēng),實事求是的治學(xué)態(tài)度,讓我受益匪淺。在生活上他平易近人,和藹可親,令我欽佩不已。他知識淵博、作風(fēng)嚴(yán)謹(jǐn)且具有高度的責(zé)任感以及忘我的工作熱情,是我在以后的生活中以及學(xué)習(xí)上的榜樣,他永遠(yuǎn)激勵我在以后的學(xué)習(xí)科研中開拓進(jìn)取、奮發(fā)向上。本次實驗設(shè)計中,丁老師給了我最及時最有效的知道,是我克服種種困難,順利完成論文。在此,謹(jǐn)向我的導(dǎo)師表示最崇高的敬意和最衷心的感謝。最后對一直以來支持我的家人、朋友、同學(xué)表示感謝,感謝你們的得包容和理解。參考文獻(xiàn)1 陳松濤. 智能搶答器的研制J. 通信與廣播電視, 1996(4):61-64.2 沈曉波, 王留留, 苗磊. 無線智能搶答器:, CN202795608UP. 2013.3 Xu N. The Design of Intelligent Responder Based on SCMJ. Applied Mechanics & Materials, 2014, 701-702:1214-1217.4 Kichline D. Rushing For AnswersJ. Rt Image, 2003(29).5 勁 唐, 峻 李, 羅啟培,等. 電腦搶答器: CN, CN 201845391 UP. 2011.6 殷蔚, 陳波. Multisim軟件在模擬電路中的仿真應(yīng)用J. 岳陽職業(yè)技術(shù)學(xué)院學(xué)報, 2007, 22(3):86-90.7 Mckinley P K, Trefftz C. Multisim: a tool for the study of large-scale multiprocessorsC/ International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Networks. 1993:57-62.8 曹國清. 數(shù)字電路與邏輯設(shè)計M. 中國礦業(yè)大學(xué)出版社, 1998.9 程建峰. 基于 Multisim的多功能8路搶答器的設(shè)計與仿真J. 工業(yè)儀表與自動化裝置, 2015(1):31-34.10 郭變. 基于Multisim8的8路搶答器的設(shè)計與仿真J. 科學(xué)技術(shù)與工程, 2012, 12(10):2446-2449.11 陳莉. 基于Multisim的智力競賽搶答器電路設(shè)計J. 電子技術(shù)與軟件工程, 2015(18):123-123.12 王革思, 楊大偉, 謝紅,等. 數(shù)字搶答器課程設(shè)計J. 信息技術(shù), 2012(9):118-120.13 清華大學(xué)編. 數(shù)字電子技術(shù)基礎(chǔ)簡明教程M. 高等教育出版社, 1985.14 普端蒂克. 數(shù)字電路原理與設(shè)計實例解析 = Digital electronics guidebook with projectsM. 電子工業(yè)出版社, 2004.15 尹勇, 李林凌. Multisim電路仿真入門與進(jìn)階M. 科學(xué)出版社, 2005.

注意事項

本文(《數(shù)字搶答器設(shè)計》word版)為本站會員(dus****log)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!