九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

八路搶答器全面版

  • 資源ID:85847926       資源大小:1.11MB        全文頁數(shù):23頁
  • 資源格式: DOC        下載積分:10積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要10積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標題沒有明確說明有答案則都視為沒有答案,請知曉。

八路搶答器全面版

word目錄前言2摘要3一、實驗?zāi)康?二、設(shè)計要求與容4三、設(shè)計與原理43.1 總體方案設(shè)計43.1.1 設(shè)計思路43.1.2 總電路框圖53.2 各模塊設(shè)計方案與原理說明53.2.1 搶答電路53.2.2 倒計時與報警電路12四、電路實驗與調(diào)試 .14五、設(shè)計總結(jié)15六、課設(shè)感受16參考文獻17附錄1.元器件清單182.元件引腳圖193.總電路圖21前 言進入21世紀越來越來多的電子產(chǎn)品出現(xiàn)在人們的日常生活中,例如企業(yè)、學校和電視臺等單位常舉辦各種智力競賽, 搶答記分器是必要設(shè)備。過去在舉行的各種競賽中我們經(jīng)??吹接袚尨鸬沫h(huán)節(jié),舉辦方多數(shù)采用讓選手通過舉答題板的方法判斷選手的答題權(quán),這在某種程度上會因為主持人的主觀誤斷造成比賽的不公平性。人們于是開始尋求一種能不依人的主觀意愿來判斷的設(shè)備來規(guī)比賽。因此,為了克制這種現(xiàn)象的慣性發(fā)生人們利用各種資源和條件設(shè)計出很多的搶答器,從最初的簡單搶答按鈕,到后來的顯示選手號的搶答器,再到現(xiàn)在的數(shù)顯搶答器,其功能在一天的趨于完善不但可以用來倒計時搶答,還兼具報警,計分顯示等等功能,有了這些更準確地儀器使得我們的競賽變得更加精彩紛呈,也使比賽更突顯其公平公正的原如此。今天隨著科技的不斷進步搶答器的制作也更加追求精益求精,人們擺脫了消耗很多元件僅來實現(xiàn)用指示燈和一些電路來實現(xiàn)簡單的搶答功能,使第一個搶答的參賽者的編號能通過指示燈顯示出來,防止不合理的現(xiàn)象發(fā)生。但這種電路不易于擴展,而且當有更高要酒無法實現(xiàn),例如參賽人數(shù)的增加。隨著數(shù)字電路的開展,數(shù)字搶答器誕生了,它易于擴展,可靠性好,集成度高,而且費用低,功能更加多樣話,是一種高效能的產(chǎn)品。而如今在市場上銷售的搶答器大多采用可編程邏輯元器件,或利用單片機技術(shù)進展設(shè)計,本次設(shè)計主要利用常見的74LS系列集成電路芯片、CD系列集成電路芯片和555芯片,并通過劃分功能模塊進展各個局部的設(shè)計,最后完成了八路智力競賽搶答器的設(shè)計。摘 要介紹了數(shù)碼顯示八路搶答器電路的組成、設(shè)計與功能,電路采用74系列常用集成電路進展設(shè)計。該搶答器除具有根本的搶答功能外,還具有定時、計時和報警功能。主持人通過時間預(yù)設(shè)開關(guān)預(yù)設(shè)供搶答的時間,系統(tǒng)將完成自動倒計時。假如在規(guī)定的時間有人搶答,如此計時將自動停止;假如在規(guī)定的時間無人搶答,如此系統(tǒng)中的蜂鳴器將發(fā)響,提示主持人本輪搶答無效,實現(xiàn)報警功能,假如超過搶答時間如此搶答無效。該搶答器主要運用到了編碼器,譯碼器和鎖存器:它采用74LS148來實現(xiàn)搶答器的選號,采用74LS279芯片實現(xiàn)對的鎖存,采用74LS192實現(xiàn)十進制的減法計數(shù),采用555芯片產(chǎn)生秒脈沖信號來共同實現(xiàn)倒計時功能,然后實現(xiàn)報警信號的輸出。通過課程設(shè)計提高和鞏固了所學的專業(yè)知識,以與知識的綜合應(yīng)用和焊接技術(shù)。關(guān)鍵詞: 搶答器 編碼 譯碼 定時 報警八路數(shù)字搶答器一、實驗?zāi)康耐ㄟ^八路數(shù)字搶答器的設(shè)計實驗,要求學生回顧所學數(shù)字電子技術(shù)的根底理論和根底實驗,掌握組合電路、時序電路、編程器件和任意集成電路的綜合使用與設(shè)計方法,熟悉掌握優(yōu)先編碼器、觸發(fā)器、計數(shù)器、單脈沖觸發(fā)器、555電路、譯碼/驅(qū)動電路的應(yīng)用方法,熟悉掌握時序電路的設(shè)計方法。達到數(shù)字實驗課程大綱所要求掌握的根本容。二、設(shè)計要求與容在許多比賽活動中,為了準確、公正、直觀地判斷出第一搶答者,通常設(shè)置一臺搶答器,通過數(shù)顯、燈光或音響等多種手段指示出第一搶答者。1設(shè)計制作一個可容納8組參賽的數(shù)字式搶答器,每組設(shè)置一個搶答按鈕供搶答者使用。2電路具有第一搶答信號的鑒別和鎖存功能。在主持人系統(tǒng)發(fā)出搶答指令后,假如參賽者按搶答開關(guān),如此該組指示燈亮并用組別顯示電路顯示出搶答者的組別,同時指示燈(發(fā)光二極管)亮。此時,電路應(yīng)具備自鎖存功能,使別組的搶答開關(guān)不起作用。3假如超時仍無人搶答,如此報警指示燈熄滅。三、設(shè)計與原理3.1 總體方案設(shè)計3.1.1 設(shè)計思路此題的根本任務(wù)是準確判斷出第一搶答者的信號并將其鎖存。實現(xiàn)這一功能可選擇使用觸發(fā)器或鎖存器等。在得到第一信號之后應(yīng)立即將電路的輸入封鎖,即使其他組的搶答信號無效。同時還必須注意,第一搶答信號應(yīng)該在主持人發(fā)出搶答命令之后才有效。當電路形成第一搶答信號之后,用編碼、譯碼與數(shù)碼顯示電路顯示出搶答者的組別,也可以用發(fā)光二極管直接指示出組別。在主持人沒有按下開始搶答按鈕前,參賽者的搶答開關(guān)無效;當主持人按下開始搶答按鈕后,開始進展30秒倒計時,此時,假如有組別搶答,顯示該組別并使搶答指示燈亮表示“已有人搶答;當計時時間到,仍無組別搶答,如此計時指示燈滅表示“時間已到,主持人清零后開始新一輪搶答。、3.1.2 總電路框圖圖 3-1總電路框圖3.2 各模塊設(shè)計方案與原理說明3.2.1 搶答電路此局部電路主要完成的功能是實現(xiàn)8路選手搶答并進展鎖存,同時有相應(yīng)發(fā)光二極管點亮和數(shù)碼顯示。使用優(yōu)先編碼器 74LS148 和鎖存器 74LS297 來完成。該電路主要完成兩個功能:一是分辨出選手按鍵的先后,并鎖存優(yōu)先搶答者的編號,同時譯碼顯示電路顯示編號顯示電路采用七段數(shù)字數(shù)碼顯示管;二是禁止其他選手按鍵,其按鍵操作無效。工作過程:開關(guān)S 置于"去除"端時,RS 觸發(fā)器的 R、S 端均為0,4 個觸發(fā)器輸出置0,使74LS148 的優(yōu)先編碼工作標志端 0,使之處于工作狀態(tài)。當開關(guān)S 置于"開始"時,搶答器處于等待工作狀態(tài),當有選手將搶答按鍵按下時如按下S5,74LS148 的輸出經(jīng)RS 鎖存后,CTR=1,RBO =1, 七段顯示電路74LS48 處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5。此外,CTR 1,使74LS148 優(yōu)先編碼工作標志端,處于禁止狀態(tài),封鎖其他按鍵的輸入。當按鍵松開即按下時,74LS148 的 此時由于仍為CTR1,使優(yōu)先編碼工作標志端1, 5 所以74LS148 仍處于禁止狀態(tài),確保不會出二次按鍵時輸入信號,保證了搶答者的優(yōu)先性。只要有一組選手先按下?lián)尨鹌?,就會將編碼器鎖死,不再對其他組進展編碼。通過74LS48譯碼器使搶答組別數(shù)字顯示0-7。如有再次搶答需由主持人將S 開關(guān)重新置“去除然后再進展下一輪搶答。原理圖如下:圖 3-2 搶答模塊原理圖RS觸發(fā)器:1.保持狀態(tài)。當輸入端接入=1的電平時,如果根本SR觸發(fā)器現(xiàn)態(tài)=1、=0,如此觸發(fā)器次態(tài)=1、=0;假如根本SR觸發(fā)器的現(xiàn)態(tài)=0、=1,如此觸發(fā)器次態(tài)=0、=1。即=1時,觸發(fā)器保持原狀態(tài)不變。2.置0狀態(tài)。當=1,=0時,如果根本SR觸發(fā)器現(xiàn)態(tài)為=1、=0,因=0,會使=1,而=1與=1共同作用使端翻轉(zhuǎn)為0;如果根本SR觸發(fā)器現(xiàn)態(tài)為=0、=1,同理會使=0,=1。只要輸入信號=1,=0,無論根本SR觸發(fā)器的輸出現(xiàn)態(tài)如何,均會使輸出次態(tài)置為0態(tài)。3.置1狀態(tài)。當=0、=1時,如果觸發(fā)器現(xiàn)態(tài)為=0、=1,因=0,會使G1的輸出端次態(tài)翻轉(zhuǎn)為1,而=1和=1共同使G2的輸出端=0;同理當=1、=0,也會使觸發(fā)器的次態(tài)輸出為=1、=0;只要=0、=1,無論觸發(fā)器現(xiàn)態(tài)如何,均會將觸發(fā)器置1。&&Q QG1G2 R SS R R S QQ R SQ置0置1保持4.不定狀態(tài)。當=0時,無論觸發(fā)器的原狀態(tài)如何,均會使=1,=1。當脈沖去掉后,和同時恢復(fù)高電平后,觸發(fā)器的新狀態(tài)要看G1和G2兩個門翻轉(zhuǎn)速度快慢,所以稱=0是不定狀態(tài),在實際電路中要防止此狀態(tài)出現(xiàn)。根本RS觸發(fā)器的邏輯圖、邏輯符號和波形圖如圖1-7所示。(a) 邏輯圖 (b) 邏輯符號 (c)波輸入輸出1XXXXXXXX11111011111111111100XXXXXXX0000010XXXXXX01001010XXXXX011010010XXXX0111011010XXX01111100010XX011111101010X01111111100100111111111101表 3-1 74LS148真值表4LS148的輸入端和輸出端低電平有效。是輸入信號,為三位二進制編碼輸出信號,1時,編碼器禁止編碼,當0時,允許編碼。是技能輸出端,只有在0,而均無編碼輸入信號時為0。為優(yōu)先編碼輸出端,在0而的其中之一有信號時,0。各輸入端的優(yōu)先順序為:級別最高,級別最低。如果0有信號,如此其它輸入端即使有輸入信號,均不起作用,此時輸出只按編碼,000。優(yōu)先編碼被廣泛用于計算機控制系統(tǒng)中,當有多個外設(shè)申請中斷時,優(yōu)先編碼器總是給優(yōu)先級別高的設(shè)備先編碼。 譯碼顯示電路CD4511是一個用于驅(qū)動共陰極 LED 數(shù)碼管顯示器的 BCD 碼七段碼譯碼器,特點如下:具有BCD轉(zhuǎn)換、消隱和鎖存控制、七段譯碼與驅(qū)動功能的CMOS電路能提供較大的拉電流??芍苯域?qū)動LED顯示器。其功能介紹如下: BI:4腳是消隱輸入控制端,當BI=0 時,不管其它輸入端狀態(tài)如何,七段數(shù)碼管均處于熄滅消隱狀態(tài),不顯示數(shù)字。LT:3腳是測試輸入端,當BI=1,LT=0 時,譯碼輸出全為1,不管輸入 DCBA 狀態(tài)如何,七段均發(fā)亮,顯示“8。它主要用來檢測數(shù)碼管是否損壞。 LE:鎖定控制端,當LE=0時,允許譯碼輸出。 LE=1時譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時的數(shù)值。A1、A2、A3、A4、為8421BCD碼輸入端。 a、b、c、d、e、f、g:為譯碼輸出端,輸出為高電平1有效。 CD4511的部有上拉電阻,在輸入端與數(shù)碼管筆段端接上限流電阻就可工作。1. CD4511的引腳 CD4511具有鎖存、譯碼、消隱功能,通常以反相器作輸出級,通常用以驅(qū)動LED.各引腳的名稱:其中7、1、2、6分別表示A、B、C、D;5、4、3分別表示LE、BI、LT;13、12、11、10、9、15、14分別表示   a、b、c、d、e、f、g。左邊的引腳表示輸入,右邊表示輸出,還有兩個引腳8、16分別表示的是VDD、VSS。2. CD4511的工作原理1. CD4511的工作真值表如表3-2 2. 鎖存功能 譯碼器的鎖存電路由傳輸門和反相器組成,傳輸門的導(dǎo)通或截止由控制端LE的電平狀態(tài)。 當LE為“0電平導(dǎo)通,TG2截止;當LE為“1電平時,TG1截止,TG2導(dǎo)通,此時有鎖存作用。如圖3-33譯碼 CD4511譯碼用兩級或非門擔任,為了簡化線路,先用二輸入端與非門對輸入數(shù) 據(jù)B、C進展組合,得出、四項,然后將輸入的數(shù)據(jù)A、D一起用或非門譯碼。 4消隱 BI為消隱功能端,該端施加某一電平后,迫使B端輸出為低電平,字形消隱。輸        入輸        出LEBILIDCBAabcdefg顯示XX0XXXX11111118X01XXXX0000000消隱01100001111110001100010110000101100101101101201100111111001301101000110011401101011011011501101100011111601101111110000701110001111111801110011110011901110100000000消隱01110110000000消隱01111000000000消隱01111010000000消隱01111100000000消隱0111111000000消隱111XXXX鎖       存鎖存表3-2 CD 4511的真值表。3.2.2 倒計時與報警電路原理圖如下:該局部主要由555 定時器秒脈沖產(chǎn)生電路、十進制同步加減計數(shù)器74LS192 減法計數(shù)電路、74LS48 譯碼電路和 2 個 7 段數(shù)碼管即相關(guān)電路組成。完成的功能是當主持人按下開始搶答按鈕后,進展30s倒計時,到0s時倒計時指示燈亮。當有人搶答時,計時停止。兩塊74LS192 實現(xiàn)減法計數(shù),通過譯碼電路 74LS48 顯示到數(shù)碼管上,其時鐘信號由時鐘產(chǎn)生電路提供。74LS192 的預(yù)置數(shù)控制端實現(xiàn)預(yù)置數(shù)30s,計數(shù)器的時鐘脈沖由秒脈沖電路提供。按鍵彈起后,計數(shù)器開始減法計數(shù)工作,并將時間顯示在共陰極七段數(shù)碼顯示管上,當有人搶答時,停止計數(shù)并顯示此時的倒計時時間;如果沒有人搶答,且倒計時時間到時, 輸出低電平到時序控制電路,控制報警電路報警,同時以后選手搶答無效。 圖 3-6 555定時器連接由555定時器和三極管構(gòu)成報警電路。其中555構(gòu)成多諧振蕩器,振蕩頻率fo1.43RI2R2C,其輸出信號經(jīng)三極管推動揚聲器。PR為控制信號,當PR為高電平時,多諧振蕩器工作,反之,電路停振。控制輸入信號為經(jīng)過編碼的搶答選手的信號,當有人在有效時間搶答時,定時時間到時無人搶答時,輸入信號為高電平,報警電路發(fā)出報警信號;反之,輸入信號為低電平時,報警器不工作。四、 電路實驗與調(diào)試通過實驗原理圖進展實物焊接,焊接時能深刻體會到焊接工藝的重要性:各個芯片的引腳功能不能混淆,必須了解各個芯片的使用方法,部結(jié)構(gòu)以與使用時的須知事項,該接電源的一定要接電源,該接地的一定要接地,且不能有懸空。同時在電路板上要預(yù)先確定電源的正負端,便于區(qū)分與焊接。正確焊接各芯片個管腳連接必須查閱各種資料并記錄,以確保在焊接過程和調(diào)試過程中芯片不被燒壞,同時確保整個電路的正確性。在焊接完后每塊芯片都用萬用表檢測,看是否有短接等,還有焊接時要盡量使布線規(guī)清晰明了,這樣才有利于在調(diào)試過程中檢查電路。4.2 調(diào)試中出現(xiàn)的問題與解決方法1 顯示電路不穩(wěn)定問題在完成電路的焊接進入調(diào)試階段時發(fā)現(xiàn)搶答器數(shù)碼管顯示選手編號不穩(wěn)定。主要表現(xiàn)在單項選擇手按下?lián)尨疰I后數(shù)碼管顯示的不是選手當前。因此著手對電路進食檢查,首先檢查數(shù)碼管看是否是關(guān)節(jié)焊接錯誤,后又檢查電路各個芯片管腳接錯均未發(fā)現(xiàn)問題,最后發(fā)現(xiàn)當觸動某按鍵連線時顯示正常由此判斷可能是因為出現(xiàn)了虛焊,遂將電路各焊點又仔細焊接了一遍,此時電路顯示正常。2 控制開關(guān)無法控制電路在調(diào)試是發(fā)現(xiàn)當按下主持人開關(guān)時電路斷電,當松開后數(shù)碼管顯示始終為7,經(jīng)過一個多小時對電路用萬用表逐個檢查,發(fā)現(xiàn)是開關(guān)觸焊接錯誤,通過改正焊接后電路能正常工作。3數(shù)碼管不能正常倒計時在進入定時電路調(diào)試時,發(fā)現(xiàn)數(shù)碼管不能正常倒計時,出現(xiàn)亂碼。對這問題我們檢查了芯片是否完好,電路界限是否正確均未發(fā)現(xiàn)問題,后發(fā)現(xiàn)是由于在焊接時焊線有些地方出現(xiàn)局部短接,于是就將焊線重新理清,數(shù)碼管也能正常工作了。五、設(shè)計總結(jié)本次電子課程設(shè)計實習將理論與實踐有機地結(jié)合,既考查了同學對理論知識的掌握程度,由反映了實際動手能力,更主要的是考查了同學對知識的綜合運用以與創(chuàng)新設(shè)計思維能力,為今后的開展提供了一次良好的學習環(huán)境。針對課設(shè)題目八路搶答器,一開始還沒有頭緒,不會運用所學知識進展有效設(shè)計,但通過上網(wǎng)查閱各種類似的設(shè)計,去圖書館翻閱相關(guān)設(shè)計書籍,查閱所提供的芯片功能,確定根本設(shè)計方案,又通過仿真驗證試驗方案的可實行性,雖說比擬煩雜但卻對設(shè)計一個電路有了根本的經(jīng)驗。同時也使自己認識到:1、 設(shè)計思路是整個設(shè)計的靈魂拿下每個課題能有一個非常清晰的設(shè)計思路是至關(guān)重要的。只有對課題的充分理解,對各種器件的熟練掌握,勾畫出根本的設(shè)計圖是成功的關(guān)鍵,必須多花時間在設(shè)計上才能為后續(xù)工作提供更扎實的根底。翻閱各種資料,上網(wǎng)查詢填補所需知識的空白是必要的。2、 焊接制作必須精益求精焊接必須精益求精,一絲不茍,一點的過失都可能導(dǎo)致實驗結(jié)果錯誤,因此必須準確無誤還要工整,這樣才能在調(diào)試中能比擬輕松進展,也是整個電路可看性更好。3、 調(diào)試調(diào)試工作是個精細工作。在調(diào)試過程中,有些問題是芯片本身損壞引起的,也有些是因為焊接問題引起的等因此排查過程需要特別有耐心,通過對芯片功能的檢驗,對焊點的檢查最后檢查出問題所在。當最后解決問題時,電路的正確是非常振奮,也很有成就感和滿足感。4、 建議設(shè)計的作品主要是用74系列集成芯片來完成的,在焊接的過程中由于芯片的引腳過多,布線工作不是很方便。有時候還因為某一跟線沒有焊牢,造成電路的不穩(wěn)定,這些都是有待改良的。六、課設(shè)感受課程設(shè)計是培養(yǎng)學生綜合運用所學知識,發(fā)現(xiàn),提出,分析和解決實際問題,鍛煉實踐能力的重要環(huán)節(jié),是對學生實際工作能力的具體訓(xùn)練和考察過程.隨著科學技術(shù)開展的日新日異,數(shù)電模電相結(jié)合的產(chǎn)品在生活中可以說得是無處不在。因此作為二十一世紀的大學來說掌握這類產(chǎn)品的開發(fā)技術(shù)是十分重要的。回顧起此次課程設(shè)計,至今我仍感慨頗多,確實,從選題到定稿,從理論到實踐,在整整兩星期的日子里,可以說得是苦多于甜,但是可以學到很多很多的的東西,同時不僅可以鞏固了以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠結(jié)實,比如說三極管PNP管腳不懂怎么放置,不懂分得二極管的正負極,對CD4511的原理掌握不扎實通過這次課程設(shè)計之后,一定把以前所學過的知識重新溫故。這次課程設(shè)計終于完成了,在設(shè)計中遇到了很多問題,最后在馬志剛教師的辛勤指導(dǎo)下,終于游逆而解。同時,在馬志剛教師的身上我學得到很多實用的知識,在次我表示感!同時,對給過我?guī)椭乃型瑢W和各位指導(dǎo)教師再次表示忠心的感! 參考文獻【1】馬雙寶.protel 99 SE原理圖與PCB設(shè)計。人民郵電?!?】康華光.電子技術(shù)根底數(shù)字局部第五版.高等教育.【3】康華光.電子技術(shù)根底模擬局部第五版.高等教育.【4【5【6】保終.中國集成電路大全TTL集成電路.國防工業(yè)附錄一:元件清單序號類型數(shù)量174LS279RS鎖存器12CD45114線7段譯碼驅(qū)動器3374LS1488線3線優(yōu)先編碼器1474LS192十進制可逆計數(shù)器25CD4011四二輸入與非門16NE55517晶體管855018七段數(shù)碼管共陰極39單刀雙置觸發(fā)開關(guān)110發(fā)光二極管111揚聲器112單刀單置輸入開關(guān)813電阻1003014電阻56K115電阻68K116117電阻46K118F 119電容10F1附錄二:74LS148的引腳圖和邏輯功能表附錄三: 74LS279的邏輯功能表和引腳圖附錄四: 74LS192的邏輯功能表和引腳圖74LS192芯片的引腳圖附錄五:NE555的引腳圖 共陰數(shù)碼管引腳圖 555定時器引腳圖附錄六:CD4511的引腳圖附錄七 總電路圖:方案一方案二課程設(shè)計評 語課程設(shè)計成 績指導(dǎo)教師簽字 年 月 日23 / 23

注意事項

本文(八路搶答器全面版)為本站會員(無***)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!