九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

2013年全國大學(xué)生電子設(shè)計(jì)大賽E題論文.doc

  • 資源ID:8711459       資源大小:844.17KB        全文頁數(shù):24頁
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

2013年全國大學(xué)生電子設(shè)計(jì)大賽E題論文.doc

2013年全國大學(xué)生電子設(shè)計(jì)競賽簡易頻率特性測試儀(E題)【本科組】2013年9月7日摘 要本系統(tǒng)以STC12C5A60S2為主控制器,該單片機(jī)是高速,低功耗,超強(qiáng)抗干擾的新一代8051單片機(jī),速度快8-12倍。以自制DDS電路板AD9854為信號(hào)發(fā)生器,產(chǎn)生兩路正交信號(hào),觀察輸出波形,分析并改正信號(hào)輸出模塊的性能。然后將信號(hào)接入被測網(wǎng)絡(luò)RLC串聯(lián)諧振電路,經(jīng)AD835構(gòu)成的乘法器后,再經(jīng)過低通濾波器,得到直流信號(hào),返回單片機(jī)后經(jīng)處理計(jì)算,從而得到被測網(wǎng)絡(luò)的幅頻和相頻特性。關(guān)鍵詞:STC12C5A60S2 AD9854 AD835 Abstract This system to STC12C5A60S2 as the main controller, the single chip microcomputer is a high-speed, low power consumption, strong anti-interference of a new generation of 8051 single chip microcomputer, 8-12 times faster. Signal generator using self-made DDS circuit board AD9854, resulting in two orthogonal signal, the output waveform is observed, analyzed and performance correction signal output module. The signal is then access the tested network RLC series resonant circuit, the AD835 multiplier, and low pass filter, get the DC signal, to the microprocessor after processing, which can be obtained by measuring the network the amplitude frequency and phase frequency characteristic. Keywords: STC12C5A60S2 AD9854 AD835目 錄1、系統(tǒng)方案11.1 MCU控制模塊的論證與選擇11.2 DDS信號(hào)源的論證與選擇11.3 乘法器的論證與選擇21.4 鍵盤模塊的論證與選擇22、系統(tǒng)理論分析與計(jì)算22.1 系統(tǒng)原理2 2.2 低通濾波器的設(shè)計(jì)22.3 ADC的設(shè)計(jì)32.4被測網(wǎng)絡(luò)的計(jì)算43、電路與程序設(shè)計(jì)53.1電路的設(shè)計(jì)53.1.1系統(tǒng)總體框圖53.1.2 MCU控制子系統(tǒng)框圖與電路原理圖53.1.3電源63.2程序的設(shè)計(jì)63.2.1程序功能描述與設(shè)計(jì)思路63.2.2程序流程圖74、測試方案與測試結(jié)果74.1測試方案74.2 測試條件與儀器94.3 測試結(jié)果及分析94.3.1測試結(jié)果(數(shù)據(jù))94.3.2測試分析與結(jié)論9參考文獻(xiàn):9附錄1:電路原理圖11附錄2:源程序131、系統(tǒng)方案 本系統(tǒng)主要由信號(hào)源模塊、被測網(wǎng)絡(luò)模塊、乘法器模塊、低通模塊、ADC模塊、測量顯示模塊、電源模塊組成,下面分別論證這幾個(gè)模塊的選擇。1.1 MCU控制模塊的論證與選擇方案一:采用51單片機(jī)控制。STC12C5A60S2系列單片機(jī)是高速,低功耗,超強(qiáng)抗干擾的新一代8051單片機(jī),指令代碼完全兼容傳統(tǒng)8051,但速度快8-12倍。內(nèi)部集成MAX810專用復(fù)位電路,2路PWM,8路高速10位A/D轉(zhuǎn)換,針對(duì)電機(jī)控制,強(qiáng)干擾場合。 方案二:采用FPGA控制。FPGA即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。FPGA一般來說比ASIC(專用集成芯片)的速度要慢,無法完成復(fù)雜的設(shè)計(jì),但是功耗較低。但是他們也有很多的優(yōu)點(diǎn)比如可以快速成品,可以被修改來改正程序中的錯(cuò)誤和更便宜的造價(jià)。 綜合以上兩種方案與實(shí)際情況,選擇方案一。1.2 DDS信號(hào)源的論證與選擇 方案一:采用分立元件和中小規(guī)模集成電路構(gòu)成波形發(fā)生器采用RC串并聯(lián)振蕩器生成正弦信號(hào)。該方案的優(yōu)點(diǎn):技術(shù)成熟,可供參考的資料較多。缺點(diǎn):外圍元器件多,調(diào)試工作量較大,頻率穩(wěn)定度和準(zhǔn)確度差,很難滿足頻率變化的范圍要求,更難準(zhǔn)確地實(shí)現(xiàn)頻率步進(jìn)的要求。 方案二:利用專用直接數(shù)字合成DDS芯片AD9851實(shí)現(xiàn)波形發(fā)生器。AD9851可以產(chǎn)生一個(gè)穩(wěn)定的頻率和相位且可數(shù)字化編程的模擬正弦波輸出。但是該芯片理論上可達(dá)到70MHz,其實(shí)在實(shí)際應(yīng)用中,當(dāng)頻率達(dá)到30MHz時(shí),波形就失真了,而且外圍電路較為復(fù)雜,需耗費(fèi)大量時(shí)間。 方案三:采用AD9854芯片構(gòu)成信號(hào)源。AD9854芯片整合了兩路高速、高性能正交D/A轉(zhuǎn)換器通過數(shù)字化編程可以輸出I、Q兩路合成信號(hào),而且可產(chǎn)生一高穩(wěn)定的頻率、相位、幅度可編程的正弦和余弦信號(hào),允許輸出的信號(hào)頻率高達(dá)150MHz,而數(shù)字調(diào)制輸出頻率可達(dá)100MHz。綜合以上三種方案,選擇方案三。1.3乘法器的論證與選擇 方案一:選用集成模擬乘法器MC1496。模擬乘法器MC1496電路模塊MC1496是根據(jù)雙差分對(duì)模擬相乘器基本原理制成的乘法器芯片,用來實(shí)現(xiàn)調(diào)幅電路具有電路簡單,調(diào)試方便的優(yōu)點(diǎn),但是MC1496器件內(nèi)部元件參數(shù)不可能完全相同,致使輸出波形出現(xiàn)載波漏信號(hào),實(shí)際電路的波形并不完全對(duì)稱。 方案二:選擇模擬乘法器AD835。AD835是個(gè)完備的4象限電壓輸出模擬乘法器,適用于各種信號(hào)處理應(yīng)用,只需極少的外部器件,易于使用,性價(jià)比高,適合要求使用混合或板級(jí)解決方案的應(yīng)用。綜合以上兩種方案,選擇方案二。1.4 鍵盤模塊的論證與選擇 方案一:獨(dú)立鍵盤。控制簡單,但是占用單片機(jī)IO口資源太多。 方案二:矩陣鍵盤??刂戚^為復(fù)雜,但是占用單片機(jī)IO口資源少。 綜合以上兩種方案,選擇方案二。202、系統(tǒng)理論分析與計(jì)算2.1 系統(tǒng)原理 根據(jù)數(shù)據(jù)手冊(cè)制作了核為AD9854的DDS集成板。通過STC12C5A60S2的控制,使AD9854輸出兩路正交信號(hào),將被測網(wǎng)絡(luò)接入電路,通過乘法器之前,考慮到乘法器芯片 AD835對(duì)小信號(hào)精度較高,所以利用放大器AD8009將AD9854輸出信號(hào)適當(dāng)放大,再送入乘法器。這兩路波分別與輸入信號(hào)在各自的環(huán)路中進(jìn)行混頻,經(jīng)低通濾波后分別得到兩路直流信號(hào),返回單片機(jī)處理即可得到被測網(wǎng)絡(luò)的幅頻特性及相頻特性。2.2 低通濾波器的設(shè)計(jì) 所謂的低通濾波器就是允許低頻信號(hào)通過,而將高頻信號(hào)衰減(如圖2.2.1所示)的電路。RC低通濾波器電路: 圖2.2.1 一階RC低通濾波器 圖2.2.2 信號(hào)幅值變化過程已知一階RC低通濾波器的微分方程為:,經(jīng)拉氏變換后得傳遞函數(shù) :,截止頻率:。分析: (1)時(shí),A(f)=1,此時(shí)信號(hào)幾乎不受衰減的通過; (2)時(shí),A(f)=0.707,此式表明RC值決定著截止頻率,因此適當(dāng)改變RC數(shù)值,就可以改變 濾波器的截止頻率; (3)時(shí),輸出與輸入的積分成正比,信號(hào)發(fā)生衰 減,衰減率為-20dB/10倍頻,當(dāng)需進(jìn)行n階RC低通濾波器并聯(lián)時(shí)。本次設(shè)計(jì)選用MAX4187,根據(jù)題目截止頻率要求濾波器電路圖具體電路圖如圖2.2.3 圖2.2.3 反相比例放大器 其中,R為500歐姆, Rf為可調(diào)電阻,0-5k歐姆,放大倍數(shù)A=-Rf/R (2-2-5)所以A可取0到10,可以滿足題目要求。2.3 ADC的設(shè)計(jì) 數(shù)據(jù)采集電路是用A/D轉(zhuǎn)換器型號(hào)AD7822來實(shí)現(xiàn)。轉(zhuǎn)換后的數(shù)字量送給后級(jí)電路進(jìn)行進(jìn)一步的處理。采用實(shí)時(shí)采樣方式和等效采樣方式對(duì)輸入信號(hào)進(jìn)行采集。采用實(shí)時(shí)采樣方式時(shí)示波器對(duì)每個(gè)采集周期的采樣點(diǎn)按時(shí)間順序進(jìn)行簡單的排列就形成了輸入信號(hào)的波形。首先經(jīng)過調(diào)整的信號(hào)被輸入到A/D轉(zhuǎn)換器為了減少干擾在這之前要經(jīng)過濾波其次CPLD控制A/D轉(zhuǎn)換電路和雙口RAM的工作時(shí)序保證快速正確的采集。最后雙口RAM可以直接被A/D轉(zhuǎn)換電路、輸出掃描電路訪問CPLD控制時(shí)序?qū)⒉杉降拇鎯?chǔ)在RAM里的數(shù)據(jù)輸出給掃描電路輸出波形。2.4被測網(wǎng)絡(luò)的計(jì)算 RLC串聯(lián)諧振仿真電路圖如圖1所示 圖1 RLC仿真電路圖 仿真后輸出的波特圖如圖2所示 圖2 波特圖根據(jù)相量法,電路的輸入阻抗可表示為:其品質(zhì)因數(shù)為:其角頻率為:諧振頻率為:將條件代入上述公式,可求得RLC串聯(lián)諧振電路中:,。3、電路與程序設(shè)計(jì)3.1電路的設(shè)計(jì)以STC12C5A60S2為主控制器,以自制DDS電路板AD9854為信號(hào)發(fā)生器,產(chǎn)生兩路正交信號(hào),接入被測網(wǎng)絡(luò)RLC串聯(lián)諧振電路,經(jīng)AD853構(gòu)成的乘法器后,再經(jīng)過低通濾波器,得到直流信號(hào),返回單片機(jī)后經(jīng)處理計(jì)算,從而得到被測網(wǎng)絡(luò)的幅頻和相頻特性。3.1.1系統(tǒng)總體框圖系統(tǒng)總體框圖如圖3-1-1所示圖3-1-1 系統(tǒng)總體框圖3.1.2 MCU控制子系統(tǒng)框圖與電路原理圖1、 正交掃頻信號(hào)源子系統(tǒng)框圖圖3-1-2 正交掃頻信號(hào)源子系統(tǒng)框圖2、 正交掃頻信號(hào)源子系統(tǒng)電路原理圖圖3-1-3 正交掃頻信號(hào)源子系統(tǒng)電路原理圖3.1.3電源電源由變壓部分、濾波部分、穩(wěn)壓部分組成。為整個(gè)系統(tǒng)提供5V或者12V電壓,確保電路的正常穩(wěn)定工作。這部分電路比較簡單,都采用三端穩(wěn)壓管實(shí)現(xiàn),故不作詳述。3.2程序的設(shè)計(jì)3.2.1程序功能描述與設(shè)計(jì)思路1、程序功能描述根據(jù)題目要求軟件部分主要實(shí)現(xiàn)鍵盤的設(shè)置和顯示。1)正交掃頻信號(hào):要求輸出1MHZ40MHZ的正弦信號(hào)。2)AD轉(zhuǎn)換:把輸出的模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào)。3)按鍵:設(shè)置頻率增減和掃頻設(shè)置。4)顯示部分:顯示電壓值、頻段、步進(jìn)值、信號(hào)類型、頻率。2、程序設(shè)計(jì)思路1)復(fù)位DDS,即Master保持10個(gè)以上系統(tǒng)時(shí)鐘周期的正脈沖;寫控制寄存器。設(shè)置工作模式、數(shù)據(jù)更新方式、鎖相環(huán)倍數(shù)、開啟和屏蔽的功能。寫數(shù)據(jù)寄存器。寫入掃頻的起始頻率和終止頻率,設(shè)置掃頻步進(jìn)和掃頻斜率。數(shù)據(jù)設(shè)置完成以后,在數(shù)據(jù)更新管腳產(chǎn)生正脈沖,芯片開始掃頻,并不斷以終頻-初頻-終頻的方式循環(huán)。 2)采用8路A/D轉(zhuǎn)換3)設(shè)置一個(gè)按鍵用來控制增加和減少輸出信號(hào)的頻率,一個(gè)按鍵用來控制掃頻設(shè)置。4)采用STC12C5A60S2單片機(jī)來控制LCD顯示電壓值、頻段。3.2.2程序流程圖 主控制芯片以單片機(jī)STC12C5A60S2,采用C語言進(jìn)行編程。主程序流程圖信號(hào)源流程 A/D轉(zhuǎn)換流程顯示序流程圖 4、測試方案與測試結(jié)果4.1測試方案1、 硬件測試 使用DS1022C數(shù)字示波器觀察并測量。 在硬件測試過程中沒有雨器件的大量投資,焊工的精致,在第一次測試 時(shí),還算比較理想,基本部分的要求幾乎都達(dá)到了,只有正交信號(hào)電壓的峰值大于等于1V時(shí),示波器顯示的輸出電壓最大為460mV,達(dá)不到題目的要求,經(jīng)隊(duì)員討論,決定加一有AD8009芯片構(gòu)成的放大器電路,可是在一次又一次的調(diào)試中,只有第一次測試時(shí)正交信號(hào)電壓得到放大,而后幾次調(diào)試,輸出電壓一直處于衰減狀態(tài),后經(jīng)指導(dǎo)老師指點(diǎn),原來是示波器的衰減度被設(shè)置過了,經(jīng)重新設(shè)置后,正弦信號(hào)電壓的峰峰值處于3到5V之間,基本完全實(shí)現(xiàn)試題的基本要求。2、 軟件仿真測試 仿真結(jié)果: 4.2 測試條件與儀器測試條件:檢查多次,仿真電路和硬件電路與系統(tǒng)原理圖幾乎相同,并且硬件電路無虛焊。測試儀器:高精度的數(shù)字毫伏表,模擬示波器,數(shù)字示波器,數(shù)字萬用表,指針式萬用表。4.3 測試結(jié)果及分析4.3.1測試結(jié)果(數(shù)據(jù))2V檔信號(hào)測試結(jié)果好下表所示: (單位/V)信號(hào)值0.20500.21000.20450.40261.0071.5421.6691.999顯示0.20510.21000.20440.40261.0061.5421.6691.9994.3.2測試分析與結(jié)論根據(jù)上述測試數(shù)據(jù),本次設(shè)計(jì)出的簡易頻率特性測試儀,由此可以得出以下結(jié)論1、 利用AD9854制作的正交掃頻信號(hào)源,可以輸出兩列正弦波,頻率范圍為1MHz40MHz。2、 在基本要求部分,幾乎都實(shí)現(xiàn)了題目的要求,輸出的信號(hào)電壓值為3到5V,滿足題目要求。3、在發(fā)揮部分,根據(jù)題目要求,我們制作了一個(gè)RLC串聯(lián)諧振電路作為被測網(wǎng)絡(luò),也都幾乎達(dá)到題目所需的要求。綜上所述,本設(shè)計(jì)達(dá)到設(shè)計(jì)要求。參考文獻(xiàn):1 童詩白 華成英.模擬電子線路基礎(chǔ)M.北京:高等教育出版社,2006年2 張毅剛.單片機(jī)原理及應(yīng)用M.北京:高等教育出版社,2003年3 金孟嘗.數(shù)字電子技術(shù)基礎(chǔ)M.北京:高等教育出版社,2006年4 黃智偉.全國大學(xué)生電子設(shè)計(jì)競賽(電路設(shè)計(jì))M.北京:北京航天航空大 學(xué)出版社,2011年。附錄1:電路原理圖圖1 正交掃頻信號(hào)源子系統(tǒng)電路原理圖 圖2 AD835構(gòu)成的乘法器電路圖 圖3 AD8009管腳圖附錄2:源程序#include <reg51.h> /STC單片機(jī)頭文件#include <intrins.h> #define uint unsigned int#define uchar unsigned char#define ulong unsigned long#define KeyPort P1uchar FreqWord6; /6個(gè)字節(jié)頻率控制字ulong fre;/*修改硬件時(shí)要修改的部分*#define AD9854_DataBus P0 #define AD9854_AdrBus P2sbit AD9854_RST = P37; /AD9854復(fù)位端口sbit AD9854_UDCLK = P36; /AD9854更新時(shí)鐘sbit AD9854_WR = P35; /AD9854寫使能,低有效sbit AD9854_RD = P34; /AD9854讀使能,低有效sbit AD9854_FDATA = P33; /AD9854 FSK,PSK控制sbit AD9854_OSK = P32; /AD9854 OSK控制端/*以下部分為函數(shù)定義*static void AD9854_WR_Byte(uchar addr,uchar dat); extern void AD9854_Init(void); static void Freq_convert(long Freq); extern void AD9854_SetSine(ulong Freq,uint Shape); static void Freq_double_convert(double Freq); extern void AD9854_SetSine_double(double Freq,uint Shape);extern void AD9854_InitFSK(void);extern void AD9854_SetFSK(ulong Freq1,ulong Freq2); extern void AD9854_InitBPSK(void); extern void AD9854_SetBPSK(uint Phase1,uint Phase2);extern void AD9854_InitOSK(void); extern void AD9854_SetOSK(uchar RateShape); extern void AD9854_InitAM(void); extern void AD9854_SetAM(uint Shape);extern void AD9854_InitRFSK(void); extern void AD9854_SetRFSK(ulong Freq_Low,ulong Freq_High,ulong Freq_Up_Down,ulong FreRate);static void delay (uint us);void AD9854_WR_Byte(uchar addr,uchar dat)AD9854_AdrBus = (addr&0x3f) | (P2&0xc0);AD9854_DataBus = dat;AD9854_WR = 0;AD9854_WR = 1;void AD9854_Init(void)AD9854_WR=1;/將讀、寫控制端口設(shè)為無效 AD9854_RD=1; AD9854_UDCLK=0; AD9854_RST=1; AD9854_RST=0; /復(fù)位AD9854 AD9854_WR_Byte(0x1d,0x10); /關(guān)閉比較器 AD9854_WR_Byte(0x1e,CLK_Set); /設(shè)置系統(tǒng)時(shí)鐘倍頻 AD9854_WR_Byte(0x1f,0x00); /設(shè)置系統(tǒng)為模式0,由外部更新 AD9854_WR_Byte(0x20,0x60); /設(shè)置為可調(diào)節(jié)幅度,取消插值補(bǔ)償 AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void Freq_convert(long Freq) ulong FreqBuf; ulong Temp=Freq_mult_ulong; uchar Array_Freq4; /將輸入頻率因子分為四個(gè)字節(jié)Array_Freq0=(uchar)Freq; Array_Freq1=(uchar)(Freq>>8);Array_Freq2=(uchar)(Freq>>16); Array_Freq3=(uchar)(Freq>>24);FreqBuf=Temp*Array_Freq0; FreqWord0=FreqBuf; FreqBuf>>=8; FreqBuf+=(Temp*Array_Freq1); FreqWord1=FreqBuf; FreqBuf>>=8; FreqBuf+=(Temp*Array_Freq2); FreqWord2=FreqBuf; FreqBuf>>=8;FreqBuf+=(Temp*Array_Freq3); FreqWord3=FreqBuf; FreqBuf>>=8; FreqWord4=FreqBuf; FreqWord5=FreqBuf>>8; void AD9854_SetSine(ulong Freq,uint Shape)uchar count;uchar Adress;Adress = 0x04; /選擇頻率控制字地址的初值Freq_convert(Freq); /頻率轉(zhuǎn)換for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress+,FreqWord-count); AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff); AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void Freq_double_convert(double Freq) ulong Low32;uint High16; double Temp=Freq_mult_doulle; /23ca99為2的48次方除以120MFreq*=(double)(Temp);High16 = (int)(Freq/4294967295); /232 = 4294967295Freq -= (double)High16*4294967295;Low32 = (ulong)Freq; FreqWord0=Low32;FreqWord1=Low32>>8; FreqWord2=Low32>>16; FreqWord3=Low32>>24; FreqWord4=High16; FreqWord5=High16>>8; void AD9854_SetSine_double(double Freq,uint Shape)ucar count=0;uchar Adress;Adress=0x04; /選擇頻率控制字1地址的初值Freq_double_convert(Freq); /頻率轉(zhuǎn)換 for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress+,FreqWord-count); AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff);AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void AD9854_InitFSK(void)AD9854_WR=1; AD9854_RD=1; /將讀、寫控制端口設(shè)為無效 AD9854_UDCLK=0; AD9854_RST=1; /復(fù)位AD9854 AD9854_RST=0;AD9854_WR_Byte(0x1d,0x10); /關(guān)閉比較器AD9854_WR_Byte(0x1e,CLK_Set); /設(shè)置系統(tǒng)時(shí)鐘倍頻AD9854_WR_Byte(0x1f,0x02); /設(shè)置系統(tǒng)為模式1,由外部更新AD9854_WR_Byte(0x20,0x60); /設(shè)置為可調(diào)節(jié)幅度,取消插值補(bǔ)償AD9854_UDCLK=1; /更新AD9854輸出 AD9854_UDCLK=0;void AD9854_SetFSK(ulong Freq1,ulong Freq2) uchar count=6;uchar Adress1,Adress2;const uint Shape=4000; /幅度設(shè)置. 為12 Bit,取值范圍為(04095)Adress1=0x04;Adress2=0x0a; /選擇頻率控制字1/2地址的初值Freq_convert(Freq1); /頻率轉(zhuǎn)換1for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress1+,FreqWord-count); Freq_convert(Freq2); /頻率轉(zhuǎn)換2for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress2+,FreqWord-count); AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff);AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出void AD9854_InitBPSK(void)AD9854_WR=1; AD9854_RD=1; /將讀、寫控制端口設(shè)為無效 AD9854_UDCLK=0; AD9854_RST=1; AD9854_RST=0; /復(fù)位AD9854 AD9854_WR_Byte(0x1d,0x10); /關(guān)閉比較器AD9854_WR_Byte(0x1e,CLK_Set); /設(shè)置系統(tǒng)時(shí)鐘倍頻AD9854_WR_Byte(0x1f,0x08); /設(shè)置系統(tǒng)為模式4,由外部更新AD9854_WR_Byte(0x20,0x60); /設(shè)置為可調(diào)節(jié)幅度,取消插值補(bǔ)償AD9854_UDCLK=1; /更新AD9854輸出 AD9854_UDCLK=0;void AD9854_SetBPSK(uint Phase1,uint Phase2)uchar count;const ulong Freq=1200000; const uint Shape=4000; uchar Adress;Adress=0x04; /選擇頻率控制字1地址的初值A(chǔ)D9854_WR_Byte(0x00,Phase1>>8); /設(shè)置相位1AD9854_WR_Byte(0x01,(uchar)(Phase1&0xff);AD9854_WR_Byte(0x02,Phase2>>8); /設(shè)置相位2AD9854_WR_Byte(0x03,(uchar)(Phase2&0xff);Freq_convert(Freq); /頻率轉(zhuǎn)換for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress+,FreqWord-count);AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff);AD9854_UDCLK=1; /更新AD9854輸出 AD9854_UDCLK=0;void AD9854_InitOSK(void)AD9854_WR=1; AD9854_RD=1; /將讀、寫控制端口設(shè)為無效AD9854_UDCLK=0;AD9854_RST=1; AD9854_RST=0; /復(fù)位AD9854AD9854_WR_Byte(0x1d,0x10); /關(guān)閉比較器AD9854_WR_Byte(0x1e,CLK_Set); /設(shè)置系統(tǒng)時(shí)鐘倍頻AD9854_WR_Byte(0x1f,0x00); /設(shè)置系統(tǒng)為模式0,由外部更新AD9854_WR_Byte(0x20,0x70);/設(shè)可調(diào)節(jié)幅度,取消插值補(bǔ)償,通斷整形內(nèi)部控制AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出void AD9854_SetOSK(uchar RateShape)uchar count;const ulong Freq=60000;const uint Shape=4000; /設(shè)置載頻 幅度設(shè)置. 為12 Bit,取值范圍為(04095)uchar Adress;Adress=0x04; /選擇頻率控制字地址的初值Freq_convert(Freq); /頻率轉(zhuǎn)換for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress+,FreqWord-count);AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff); AD9854_WR_Byte(0x25,RateShape); /設(shè)置OSK斜率AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void AD9854_InitAM(void)uchar count;const ulong Freq=60000; /設(shè)置載頻uchar Adress;Adress=0x04; /選擇頻率控制字地址的初值 AD9854_WR=1; AD9854_RD=1; /將讀、寫控制端口設(shè)為無效 AD9854_UDCLK=0; AD9854_RST=1; AD9854_RST=0; /復(fù)位AD9854 AD9854_WR_Byte(0x1d,0x10); /關(guān)閉比較器AD9854_WR_Byte(0x1e,CLK_Set); /設(shè)置系統(tǒng)時(shí)鐘倍頻AD9854_WR_Byte(0x1f,0x00); /設(shè)置系統(tǒng)為模式0,由外部更新AD9854_WR_Byte(0x20,0x60); /設(shè)置為可調(diào)節(jié)幅度,取消插值補(bǔ)償Freq_convert(Freq); /頻率轉(zhuǎn)換for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress+,FreqWord-count); AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void AD9854_SetAM(uint Shape)AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff);AD9854_UDCLK=1;AD9854_UDCLK=0; /更新AD9854輸出 void AD9854_InitRFSK(void)AD9854_WR=1; AD9854_RD=1; /將讀、寫控制端口設(shè)為無效 AD9854_UDCLK=0; AD9854_RST=1; AD9854_RST=0; /復(fù)位AD9854 AD9854_WR_Byte(0x1d,0x10); /關(guān)閉比較器AD9854_WR_Byte(0x1e,CLK_Set); /設(shè)置系統(tǒng)時(shí)鐘倍頻AD9854_WR_Byte(0x1f,0x24); /設(shè)系統(tǒng)模式2,由外部更新,使能波掃頻 AD9854_WR_Byte(0x20,0x60); /設(shè)置為可調(diào)節(jié)幅度,取消插值補(bǔ)償AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void AD9854_SetRFSK(ulong Freq_Low,ulong Freq_High,ulong Freq_Up_Down,ulong FreRate)uchar count=6;uchar Adress1,Adress2,Adress3; const uint Shape=4000; /幅度設(shè)置. 為12 Bit,取值范圍為(04095)Adress1=0x04;Adress2=0x0a; Adress3=0x10; /選擇頻率控制字地址的初值 Freq_convert(Freq_Low); /頻率1轉(zhuǎn)換for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress1+,FreqWord-count); Freq_convert(Freq_High); /頻率2轉(zhuǎn)換 for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress2+,FreqWord-count); Freq_convert(Freq_Up_Down); /步進(jìn)頻率轉(zhuǎn)換for(count=6;count>0;) /寫入6字節(jié)的頻率控制字 AD9854_WR_Byte(Adress3+,FreqWord-count); AD9854_WR_Byte(0x1a,(uchar)(FreRate>>16)&0x0f); /設(shè)置斜升速率AD9854_WR_Byte(0x1b,(uchar)(FreRate>>8);AD9854_WR_Byte(0x1c,(uchar)FreRate);AD9854_WR_Byte(0x21,Shape>>8); /設(shè)置I通道幅度AD9854_WR_Byte(0x22,(uchar)(Shape&0xff);AD9854_WR_Byte(0x23,Shape>>8); /設(shè)置Q通道幅度AD9854_WR_Byte(0x24,(uchar)(Shape&0xff);AD9854_UDCLK=1; AD9854_UDCLK=0; /更新AD9854輸出 void delay (uint us) uint i;for(i=0;i<us;i+)_nop_();unsigned char KeyScan(void) /鍵盤掃描函數(shù),使用行列逐級(jí)掃描法 unsigned char Val; KeyPort=0xf0;/高四位置高,低四位拉低 if(KeyPort!=0xf0)/表示有按鍵按下 delay(10); /去抖if(KeyPort!=0xf0) KeyPort=0xfe; /檢測第一行if(KeyPort!=0xfe) Val=KeyPort&0xf0; Val+=0x0e; while(KeyPort!=0xfe); delay(10); /去抖 while(KeyPort!=0xfe); return Val; KeyPort=0xfd; /檢測第二行if(KeyPort!=0xfd) Val=KeyPort&0xf0; Val+=0x0d; while(KeyPort!=0xfd); delay(10); /去抖 while(KeyPort!=0xfd); return Val; KeyPort=0xfb; /檢測第三行if(KeyPort!=0xfb) Val=KeyPort&0xf0; Val+=0x0b; while(KeyPort!=0xfb); delay(10); /去抖 while(KeyPort!=0xfb); return Val; KeyPort=0xf7; /檢測第四行if(KeyPort!=0xf7) Val=KeyPort&0xf0; Val+=0x07; while(KeyPort!=0xf7); delay(10); /去抖 while(KeyPort!=0xf7); return Val; return 0xff;unsigned char KeyPro(void) switch(KeyScan() case 0x7e:return 0;break;/0 按下相應(yīng)的鍵顯示相對(duì)應(yīng)的碼值 case 0x7d:return 1;break; case 0x7b:return 2;break;/2 case 0x77:return 3;break; case 0xbe:return 4;break;/4 case 0xbd:return 5;break; case 0xbb:return 6;break;/6 case 0xb7:return 7;break; case 0xde:return 8;break;/8 case 0xdd:return 9;break; case 0xdb:return 10;break;/a case 0xd7:return 11;break; case 0xee:return 12;break;/c case 0xed:return 13;break; case 0xeb:return 14;break;/e case 0xe7:return 15;break; default:return 0xff;break; int main()uchar num;fre=1000000;while(1) num=KeyPro(); if(num=1) AD9854_SetSine(1000000,4000); AD9854_FDATA = 1;delay(300); /延時(shí)AD9854_FDATA = 0;delay(300); if(num=2) fre+=100000; AD9854_Init();AD9854_SetSine(fre,4000); AD9854_FDATA = 1;delay(300); /延時(shí)時(shí)間長,便于觀察AD9854_FDATA = 0;delay(300); else if(num=3) fre-=100000;AD9854_Init();AD9854_SetSine(fre,4000);AD9854_FDATA = 1;delay(300); /延時(shí)時(shí)間長,便于觀察AD9854_FDATA = 0;delay(300); else if(num=4) AD9854_SetSine(40000000,4000); AD9854_FDATA = 1;delay(300); /延時(shí)時(shí)間長,便于觀察AD9854_FDATA = 0;delay(300); else if(num=5) AD9854_InitBPSK();AD9854_SetBPSK(0,8192);AD9854_FDATA = 1;delay(10); AD9854_FDATA = 0;delay(10); else if(num=6) AD9854_InitRFSK();AD9854_SetRFSK(100000,4000000,100000,30);delay(30000);

注意事項(xiàng)

本文(2013年全國大學(xué)生電子設(shè)計(jì)大賽E題論文.doc)為本站會(huì)員(jian****018)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!