九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來(lái)到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類(lèi) > DOC文檔下載  

《數(shù)字電子鐘設(shè)計(jì)》word版.doc

  • 資源ID:8831988       資源大?。?span id="24d9guoke414" class="font-tahoma">1.06MB        全文頁(yè)數(shù):18頁(yè)
  • 資源格式: DOC        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開(kāi)放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶(hù)名和密碼都是您填寫(xiě)的郵箱或者手機(jī)號(hào),方便查詢(xún)和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開(kāi),此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無(wú)水印,預(yù)覽文檔經(jīng)過(guò)壓縮,下載后原文更清晰。
5、試題試卷類(lèi)文檔,如果標(biāo)題沒(méi)有明確說(shuō)明有答案則都視為沒(méi)有答案,請(qǐng)知曉。

《數(shù)字電子鐘設(shè)計(jì)》word版.doc

第1章 緒論現(xiàn)在是一個(gè)知識(shí)爆炸的時(shí)代。新產(chǎn)品,新技術(shù)層出不窮,電子計(jì)數(shù)的發(fā)展更是日新月異??梢院敛豢鋸埖恼f(shuō),電子計(jì)數(shù)的應(yīng)用無(wú)處不在,電子技術(shù)正在不斷改變我們的世界。但在這快速發(fā)展的時(shí)代,時(shí)間對(duì)人們來(lái)說(shuō)越來(lái)越寶貴,在快節(jié)奏的生活時(shí),人們往往忘記了時(shí)間,一旦遇到重要的事情而忘記了時(shí)間,這將會(huì)帶來(lái)很大的損失。因此我們需要一個(gè)定時(shí)系統(tǒng)來(lái)提醒這些忙碌的人。數(shù)字化的鐘給人們帶來(lái)了極大的方便。隨著科技的快速發(fā)展,數(shù)字電子鐘在實(shí)際生活中的應(yīng)用越開(kāi)越廣泛,小到普通的電子表,大到航天器等高科技電子產(chǎn)品中的計(jì)時(shí)設(shè)備。數(shù)字鐘是一個(gè)將“時(shí)”,“分”,“秒”顯示于人的視覺(jué)器官的計(jì)時(shí)裝置。它的計(jì)時(shí)周期為24小時(shí),顯示滿(mǎn)刻度為23時(shí)59分59秒,另外應(yīng)有整點(diǎn)報(bào)時(shí)附加功能。多功能數(shù)字鐘由以下幾部分組成:555定時(shí)器組成的多諧振蕩電路構(gòu)成秒脈沖發(fā)生器;矯正電路,六十進(jìn)制的秒,分計(jì)數(shù)器和二十四進(jìn)制的時(shí)計(jì)數(shù)器;秒,分,時(shí)的數(shù)碼顯示部分;報(bào)時(shí)電路等。作為電氣工程及其自動(dòng)化的一名學(xué)生掌握并能夠獨(dú)立自主設(shè)計(jì)一個(gè)數(shù)字電子鐘是必要和必須的,即可以加深對(duì)課本上理論知識(shí)的理解又能鍛煉自己的思考和解決問(wèn)題的能力。11設(shè)計(jì)任務(wù)及要求1.能進(jìn)行正常的時(shí)、分、秒計(jì)時(shí)功能;2.能進(jìn)行手動(dòng)校時(shí);3.能進(jìn)行整點(diǎn)報(bào)時(shí);4.具有定時(shí)鬧鐘功能。1.2設(shè)計(jì)的方案的選擇與論證鐘表的數(shù)字化給人們生產(chǎn)生活帶來(lái)了極大的方便,而且大大地?cái)U(kuò)展了鐘表原先的報(bào)時(shí)功能。諸如,定時(shí)報(bào)警,按時(shí)自動(dòng)打鈴,時(shí)間程序自動(dòng)控制,這些,都是以鐘表數(shù)字化為基礎(chǔ)的。本次所要設(shè)計(jì)的數(shù)字電子鐘可以滿(mǎn)足使用者的一些特殊要求,輸出方式靈活,如可以隨意設(shè)置時(shí),分,秒的輸出,定點(diǎn)報(bào)時(shí)。由于集成電路技術(shù)的發(fā)展,特別是MOS集成電路技術(shù)的發(fā)展,使數(shù)字電子鐘具有體積小,耗電省,計(jì)時(shí)準(zhǔn)確,性能穩(wěn)定,維護(hù)方便等優(yōu)點(diǎn)。數(shù)字 時(shí)鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路,因此,時(shí)間計(jì)數(shù)電路是一個(gè)由計(jì)數(shù)器組成的時(shí)許邏輯電路。用555定時(shí)器構(gòu)成的多諧振蕩器作為秒脈沖信號(hào)源,控制秒個(gè)位的信號(hào)輸入,整點(diǎn)報(bào)時(shí)信號(hào)輸入和鬧鐘報(bào)時(shí)信號(hào)輸入,是整個(gè)電路唯一的脈沖信號(hào)源。將計(jì)數(shù)器與顯示器相連結(jié),可以將輸入的二進(jìn)制數(shù)翻譯成可以直讀的十進(jìn)制數(shù)字并顯示出來(lái),顯示管與計(jì)數(shù)器之間由譯碼器相接,作為譯碼驅(qū)動(dòng)。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間完全一致,異或計(jì)數(shù)過(guò)程中可能出現(xiàn)誤差,故需要在電路中添加校時(shí)電路,以保證可以隨時(shí)對(duì)時(shí)間進(jìn)行矯正。整點(diǎn)自動(dòng)報(bào)時(shí)電路,可以使時(shí)鐘在臨近整點(diǎn)的時(shí)刻鳴叫提醒,并在指示燈閃爍。鬧鐘電路是該電路的附加部分,可以實(shí)現(xiàn)時(shí)鐘在設(shè)定時(shí)刻鳴叫報(bào)時(shí),并在指示燈閃爍,增加了時(shí)鐘的功能。第2章 數(shù)字電子鐘基本原理及其框圖 數(shù)字電子鐘基本原理及其框圖數(shù)字電子鐘的邏輯框圖如圖1-1所示。它由555集成芯片構(gòu)成的振蕩電路,分頻器,計(jì)數(shù)器,顯示器和校時(shí)電路組成。555集成芯片構(gòu)成的振蕩電路產(chǎn)生的信號(hào)經(jīng)過(guò)分頻器作為秒脈沖,秒脈沖送入計(jì)數(shù)器,計(jì)數(shù)結(jié)果通過(guò)“時(shí)”,“分”,“秒”譯碼器顯示時(shí)間。 圖1-1 數(shù)字電子鐘原理框圖第3章 單元電路的設(shè)計(jì)與選擇3.1 振蕩電路振蕩器是數(shù)字鐘的核心。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字鐘計(jì)時(shí)的準(zhǔn)確程度,通常選用石英晶體構(gòu)成振蕩器電路。一般來(lái)說(shuō),振蕩器的頻率越高,計(jì)時(shí)精度越高。但本設(shè)計(jì)因?yàn)閷?shí)際條件的限制,所以我選用集成電路定時(shí)器555與RC組成的多諧振蕩器。選擇適合的R,C的大小,讓多諧振蕩器的頻率是1000HZ.這樣既鍛煉了動(dòng)手能力,又加深了對(duì)555振蕩器理論的理解。如圖3-1.圖3-1 振蕩電路555的內(nèi)部電路圖如圖3-2如圖3-2 555的內(nèi)部電路圖555集成定時(shí)器由四部分組成1. 基本Rs觸發(fā)器:有兩個(gè)“與非”門(mén)組成2. 比較器:C1,C2是兩個(gè)電壓比較器3. 分壓器:阻值均為5千歐的電阻串聯(lián)起來(lái)構(gòu)成分壓器,為比較器C1和C2提供參考電壓。4. 晶體管開(kāi)卷和輸出緩沖器:晶體管VT構(gòu)成開(kāi)關(guān),其狀態(tài)受Q端控制。輸出緩沖器就是接在輸出端的反相器G3,其作用是提高定時(shí)器的帶負(fù)載能力和隔離負(fù)載對(duì)定時(shí)器的影響。(a) (b)圖 3-3邏輯框圖如圖及其邏輯符號(hào)如圖分頻器 分頻器的功能主要有兩個(gè)(1) 產(chǎn)生標(biāo)準(zhǔn)秒脈沖(2) 提供功能擴(kuò)展電路所需要的信號(hào)3.2 時(shí)間計(jì)數(shù)電路3.2.1 秒,分計(jì)數(shù)器秒個(gè)位計(jì)數(shù)器74LS160被接成十進(jìn)制計(jì)數(shù)器,其置數(shù)輸入端A,B,C,D(3腳4腳5腳6腳)接低電平,LD,QB,QC,QD(14腳13腳12腳11腳)接譯碼電路74LS48的輸入端A,B,C,D。當(dāng)秒脈沖輸入時(shí),電路狀態(tài)按二進(jìn)制自然序說(shuō)依次遞增1,QA,QB,QC,QD輸出為0000,0001,0010,0011,0100,0101,0110,0111,1000,1001,當(dāng)輸出為1010也就是10時(shí),QA,QC輸出都是1,經(jīng)過(guò)一個(gè)與非門(mén)后一路經(jīng)反相后送入或非門(mén)的一個(gè)輸入端,輸出送往計(jì)數(shù)器的消零端RD使秒計(jì)數(shù)器消零,另一路經(jīng)反相后作為進(jìn)位脈沖送入秒脈沖送入秒十位計(jì)時(shí)器的脈沖輸入端秒十位計(jì)數(shù)器在這里74LS160被接成6進(jìn)制計(jì)數(shù)器,接法與個(gè)位計(jì)數(shù)器相同,秒計(jì)數(shù)器送來(lái)的進(jìn)位脈沖送入秒使位計(jì)數(shù)器的脈沖輸入端,使其按二進(jìn)制自然序說(shuō)依次遞增1,QA,QB,QC,QD端輸出為0000,0001,0010,0011,0100,0101,當(dāng)輸出為1010也就是6時(shí),QB,QC輸出為1,QA,QD輸出為0,QB,QC經(jīng)過(guò)一個(gè)與非門(mén)后一路先送往秒十位計(jì)數(shù)器的消零端,另一端經(jīng)反相后作為進(jìn)位脈沖送入分個(gè)位計(jì)數(shù)器的脈沖輸入端。分計(jì)數(shù)器的連接方法與秒計(jì)數(shù)器的相同,分計(jì)數(shù)器向時(shí)計(jì)數(shù)器送進(jìn)脈沖,秒,分的六十進(jìn)制計(jì)數(shù)器的構(gòu)成如圖3-4所示: 圖 3-4 74LS160制成的六十進(jìn)制電路圖3.2.2 時(shí)計(jì)數(shù)器二十四進(jìn)制計(jì)數(shù)器,也使用兩個(gè)74LS160集成塊來(lái)實(shí)現(xiàn)的,方法與二十四進(jìn)制計(jì)數(shù)器大同小異,但其要求個(gè)位是十進(jìn)制,狀態(tài)變化在00001001間循環(huán),十位是二進(jìn)制,狀態(tài)變化在00000010間循環(huán),顯示為023時(shí)。 由分計(jì)數(shù)器送來(lái)的進(jìn)位脈沖送入時(shí)個(gè)位計(jì)數(shù)器,電路在分進(jìn)位脈沖的作用下按二進(jìn)制自然序說(shuō)遞增1,當(dāng)計(jì)數(shù)到24,這時(shí)小時(shí)個(gè)位輸出0100(也就是4),小時(shí)十位輸出0010(也就是2),小時(shí)十位計(jì)數(shù)器只有QC端有輸出,小時(shí)個(gè)位計(jì)數(shù)器只有QB端有輸出,將QC,QB端接一個(gè)二輸入與非門(mén),與非門(mén)輸出一路先送入十位計(jì)數(shù)器的清零端然后取反送入或非門(mén)的另一個(gè)輸入端,輸出姐小時(shí)個(gè)位計(jì)數(shù)器的消零端,其每10小時(shí)清零并向小時(shí)十位計(jì)數(shù)器送進(jìn)為脈沖,當(dāng)十位輸出為二,小時(shí)個(gè)位輸出為四時(shí),將整個(gè)電路清零,另一路取反后作為星期進(jìn)位脈沖送入星期顯示電路的脈沖輸入端,完成24小時(shí)的顯示及向星期電路送入星期進(jìn)位脈沖的功能。二十四進(jìn)制計(jì)數(shù)器的構(gòu)成如圖3-5所示: 圖3-5 74LS160制成的二十四進(jìn)制計(jì)數(shù)器使用原件簡(jiǎn)介74LS00集成塊74LS00是一種典型的與非門(mén)器件,內(nèi)部含有4個(gè)2輸入端與非門(mén),共有14個(gè)引腳,引腳排列圖(a),邏輯符號(hào)(b)和邏輯狀態(tài)表表3-1如圖3-6所示: 圖 (a) 圖(b)圖 3-6 74LS00的引腳排列圖與邏輯狀態(tài)表 表3-1 74LS00的邏輯狀態(tài)表74LS160集成塊圖 3-7 74LS160外引線(xiàn)排列圖 表3-2 74LS160的功能表 3.3 顯示器本系統(tǒng)用七段發(fā)光二極管來(lái)顯示譯碼器輸出的數(shù)字,顯示器有兩種:共陽(yáng)極顯示器或共陰極顯示器。74LS48譯碼器對(duì)應(yīng)的顯示器是共陰極顯示器。74LS48與七段譯碼管構(gòu)成顯示器如圖3-8所示的數(shù)碼管需要譯碼器才能顯示,74LS48是驅(qū)動(dòng)共陰極數(shù)碼管的器件。 圖 3-8 譯碼器驅(qū)動(dòng)共陰極數(shù)碼管電路從74LS48的A,B,C,D端輸入二進(jìn)制數(shù)便可完成顯示功能。74LS48集成塊 圖 3-9 74LS48引腳排列 表3-3 74LS48真值表3.4校時(shí)電路 當(dāng)數(shù)字鐘走時(shí)出現(xiàn)誤差時(shí),需要矯正時(shí)間。校時(shí)電路實(shí)現(xiàn)對(duì)“時(shí)”“分”“秒”的校準(zhǔn)。在電路中設(shè)有正常計(jì)時(shí)和校對(duì)位置。本實(shí)驗(yàn)實(shí)現(xiàn)“時(shí)”“分”的校對(duì)。對(duì)校時(shí)的要求是,在小時(shí)矯正時(shí)不影響分和時(shí)的正常計(jì)數(shù);在分校時(shí)不影響秒和小時(shí)的正常計(jì)數(shù)。需要注意的是,校時(shí)是由與非門(mén)構(gòu)成的組合邏輯電路,開(kāi)關(guān)S1或S2為“0”或“1”時(shí),可能會(huì)產(chǎn)生抖動(dòng),為防止這一情況的發(fā)生我在原本接校時(shí)脈沖的端口接到了實(shí)驗(yàn)裝置的“單次脈沖”端口,這樣即時(shí)限內(nèi)了防抖動(dòng),又可以利用手動(dòng)操作來(lái)完成校時(shí)。校時(shí)電路圖如圖3-10。 圖3-10 校時(shí)電路 3.5 整點(diǎn)報(bào)時(shí)電路電路應(yīng)該在整點(diǎn)前1秒鐘內(nèi)開(kāi)始整點(diǎn)報(bào)時(shí),即當(dāng)時(shí)間在59分59秒時(shí),報(bào)時(shí)電路發(fā)出報(bào)時(shí)控制信號(hào),蜂鳴器發(fā)出響聲,并且指示燈閃爍一次。當(dāng)時(shí)間在59分59秒時(shí),分十位,分個(gè)位和秒是為,秒個(gè)位均保持不變,分別為5,9和5,9,因此可將分計(jì)數(shù)器的Qc和Qa,個(gè)位的Qd和Qa及秒計(jì)數(shù)器十位的Qc和Qa,個(gè)位的Qd和Qa相與,從而產(chǎn)生報(bào)時(shí)控制信號(hào)。報(bào)時(shí)電路可選7個(gè)74F08D構(gòu)成。如圖3-11。 圖 3-11 整點(diǎn)報(bào)時(shí)3.6 鬧鐘功能電路數(shù)字鐘在指定的時(shí)刻發(fā)出信號(hào),或驅(qū)動(dòng)音響電路“鬧鐘”,或?qū)δ逞b置的電源進(jìn)行接通或斷開(kāi)“控制”。不管是鬧鐘還是控制,都要求時(shí)間準(zhǔn)確,即信號(hào)的開(kāi)始時(shí)刻與持續(xù)時(shí)間必須滿(mǎn)足規(guī)定的要求。例如要求上午7時(shí)59分發(fā)出鬧鐘信號(hào),持續(xù)時(shí)間1分鐘。本實(shí)驗(yàn)設(shè)計(jì)為7時(shí)59分時(shí),音響電路的晶體管通道,則揚(yáng)聲器發(fā)出1KHz的時(shí)間。持續(xù)1分鐘到8點(diǎn)整晶體管因輸入端為“0”而截止,電路停鬧。如同3-12所示。 圖 3-12 鬧鐘功能電路3.7數(shù)字電子鐘的總原理圖如圖3-13所示:圖 3-13 總體電路表3-4 元件清單序號(hào)型號(hào)名稱(chēng)數(shù)量編號(hào)1555振蕩器1A1274LS00與非門(mén)3.U19D.U20D.U21D374LS160同步十進(jìn)制計(jì)數(shù)器6U13.U14.U15.U16.U17.U18.474LS48共陰極顯示器6U7.U8.U9.U10.U11.U125七段顯示器6U1.U2.U3. U4.U5.U6.674LS04二極管2U22.U23774LS02或非門(mén)2U24.U258電感45R1R45974F08D與門(mén)710C電容2C1.C2 總結(jié)通過(guò)運(yùn)用數(shù)字集成電路設(shè)計(jì)的24小時(shí)制的數(shù)字電子時(shí)鐘,經(jīng)過(guò)試驗(yàn),成功實(shí)現(xiàn)了一下基本功能:1. 能準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示時(shí)、分、秒的時(shí)間。2. 能實(shí)現(xiàn)整點(diǎn)報(bào)時(shí)的功能,當(dāng)時(shí)間在59分59秒時(shí),報(bào)時(shí)電路發(fā)出報(bào)時(shí)控制信號(hào),蜂鳴器發(fā)出響聲,并且指示燈閃爍一次。3.能定時(shí)控制,且能手動(dòng)校時(shí)。4.能進(jìn)行鬧鐘功能。通過(guò)這次數(shù)字電子鐘的課程設(shè)計(jì),我們才把學(xué)到的東西與實(shí)踐相結(jié)合。從中對(duì)我們學(xué)的知識(shí)有了更進(jìn)一步的理解,而且更進(jìn)一步地熟悉了芯片的結(jié)構(gòu)及掌握了各芯片的工作原理和其具體的使用方法。也鍛煉了自己獨(dú)立思考問(wèn)題的能力和通過(guò)查看相關(guān)資料來(lái)解決問(wèn)題的習(xí)慣。雖然這只是一次簡(jiǎn)單的課程設(shè)計(jì),但通過(guò)這次課程設(shè)計(jì)我們了解了課程設(shè)計(jì)的一般步驟,和設(shè)計(jì)中應(yīng)注意的問(wèn)題。設(shè)計(jì)本身并不是有很重要的意義,而是同學(xué)們對(duì)待問(wèn)題時(shí)的態(tài)度和處理事情的能力。各個(gè)芯片能夠完成什么樣的功能,使用芯片時(shí)應(yīng)該注意那些要點(diǎn)。同一個(gè)電路可以用那些芯片實(shí)現(xiàn),各個(gè)芯片實(shí)現(xiàn)同一個(gè)功能的區(qū)別。另外,還在電腦制作文檔的過(guò)程中,使我對(duì)辦公軟件有了更進(jìn)一步的了解和掌握。 致謝通過(guò)這三周的學(xué)習(xí),我感覺(jué)有很大的收獲:首先,通過(guò)這次課程設(shè)計(jì)使自己對(duì)課本上的知識(shí)可以應(yīng)用于實(shí)際,使理論與實(shí)際相結(jié)合,加深自己對(duì)課本知識(shí)的更好理解,同時(shí)也段練了我個(gè)人的動(dòng)手能力:能夠充分利用圖書(shū)館去查閱資料,增加了許多課本以外的知識(shí)。更加了解了時(shí)序邏輯電路的設(shè)計(jì)步驟及方法。 對(duì)時(shí)序邏輯電路的觸發(fā)方式的理解更加深刻即同步連接方式和異步連接方式的了解。 增加了對(duì)74LS160和74LS00芯片引腳結(jié)構(gòu)和功能的理解及運(yùn)用,尤其是160的清零端和進(jìn)位端的功能。在做設(shè)計(jì)過(guò)程中,鍛煉了我的細(xì)心和耐性。在本文的寫(xiě)作過(guò)程中得到了程鳳琴老師的精心指導(dǎo),在此表示衷心的感謝。 參考文獻(xiàn)1、彭介華等著.電子技術(shù)課程設(shè)計(jì)指導(dǎo).M北京:高等教育出版社,1997年。2、安冰菊等著.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)及課程設(shè)計(jì).M北京:機(jī)械工業(yè)出版社,2006年。3、陳光明等著.電子技術(shù)課程設(shè)計(jì)與綜合實(shí)訓(xùn).M北京:北京航空航天大學(xué)大學(xué)出版社,2007年4、閆石主編.數(shù)字電子技術(shù)基礎(chǔ).M北京:高等教育出版社,2001年。

注意事項(xiàng)

本文(《數(shù)字電子鐘設(shè)計(jì)》word版.doc)為本站會(huì)員(wux****ua)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話(huà):18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!