半導體制造專業(yè)英語術(shù)語.doc
《半導體制造專業(yè)英語術(shù)語.doc》由會員分享,可在線閱讀,更多相關(guān)《半導體制造專業(yè)英語術(shù)語.doc(68頁珍藏版)》請在裝配圖網(wǎng)上搜索。
A 1st level packaging 第一級封裝 2nd level packaging 第二級封裝 aberration 象差/色差 absorption 吸收 acceleration column 加速管 acceptor 受主 Accumulate v. 積聚, 堆積 acid 酸 acoustic streaming 聲學流 active region 有源區(qū) activate 激活 activated dopant 激活雜質(zhì) active component 有源器件 adsorption 吸附 aerosol 懸浮顆粒 air ionizer 空氣電離化器 alignment mark 對準標記 alignment 對準 alloy 合金 alternate adj. 交替的, 輪流的, 預備的 v. 交替, 輪流, 改變 aluminum 鋁 aluminum subtractive process 鋁刻蝕工藝 ambient 環(huán)境 ammonia(NH3) 氨氣 ammonium fluoride(NH4F) 氟化氨 ammonium hydroxide(NH4OH) 氫氧化氨 amorphous 非晶的,無定型 analog 模擬信號 angstrom 埃 anion 陰離子 anisotropic etch profile 各向異性刻蝕剖面 anneal 退火 antimony(sb) 銻 antirelective coating(ARC) 抗反射涂層 APCVD 常壓化學氣向淀積 application specific IC(ASIC) 專用集成電路 aqueous solution 水溶液 area array 面陣列 argon(Ar) n. [化]氬 arsenic(As) 砷 arsine(AsH3) 砷化氫,砷烷 ashing 灰化,去膠 aspect ratio 深寬比,高寬比 aspect ratio dependent etching(ARDE) 與刻蝕相關(guān)的深寬比 asphyxiant 窒息劑 assay number 檢定數(shù) atmospheric adj. 大氣的 atmospheric pressure 大氣壓 atmospheric pressure CVD(APCVD) 常壓化學氣向淀積 atomic force microscopy(AFM) 原子力顯微鏡 atomic number 原子序數(shù) attempt n. 努力, 嘗試, 企圖 vt. 嘗試, 企圖 auger electron spectroscopy(AES) 俄歇電子能譜儀 autodoping 自摻雜 automatic defect classification(ADC) 缺陷自動分類 B back-end of line(BEOL) (生產(chǎn)線)后端工序 backgrind 減薄 backing film 背膜 baffle vt. 困惑, 阻礙, 為難(擋片) baffle assembly n. 集合, 裝配, 集會, 集結(jié), 匯編 (擋片塊) ball grid array(BGA) 球柵陣列 ballroom layout 舞廳式布局,超凈間的布局 barrel reactor 圓桶型反應室 barrier metal 阻擋層金屬 barrier voltage 勢壘電壓 base 基極,基區(qū) batch 批 bay and chase layout 生產(chǎn)區(qū)和技術(shù)夾層區(qū) beam blow-up 離子束膨脹 beam current 束流 beam deceleration 束流減速 beam energy 離子束能量 beol (生產(chǎn)線)后端工序 best focus 最佳聚焦 BGA 球柵陣列 Biasing 電壓拉偏 BICMOS 雙極CMOS bincode number 分類代碼號 bin map 分類圖 bipolar junction transistor(BJT) 雙極晶體管 bipolar technology 雙極技術(shù)(工藝) bird’s beak effect 鳥嘴效應 blanket deposition 均厚淀積 blower 增壓泵 boat 舟 BOE 氧化層刻蝕緩沖劑Bon voyage [法]再見, 一路順風[平安] bonding pads 壓點 bonding wire 焊線,引線 boron(B) 硼 boron trichloride(BCL3) 三氯化硼 boron trifluoride(BF3) 三氟化硼 borophosphosilicate glass(BPSG) 硼磷硅玻璃 borosilicate glass(BSG) 硼硅玻璃 bottom antireflective coating(BARC) 下減反射涂層 boule 單晶錠 bracket n. 墻上凸出的托架, 括弧, 支架 v. 括在一起 breakthrough step 突破步驟,起始的干法刻蝕步驟 brightfield detection 亮場檢查 brush scrubbing 涮洗 bubbler 帶鼓泡槽 buffered oxide etch(BOE) 氧化層腐蝕緩沖液 bulk chemical distribution 批量化學材料配送 bulk gases 大批氣體 bulkhead equipment layout 穿壁式設(shè)備布局 bumped chip 凸點式芯片 buried layer 埋層 burn-box 燃燒室(或盒) burn-in 老化 C CA 化學放大(膠) cantilever n. [建]懸臂 cantilever paddle 懸臂槳 cap oxide 掩蔽氧化層 capacitance 電容 capacitance-voltage test(C-Vtest) 電容-電壓測試 capacitive coupled plasma 電容偶合等離子體 capacitor 電容器 carbon tetrafluoride(CF4) 四氟化碳 caro’s acid 3號液 carrier 載流子 carrier-depletion region 載流子耗盡層 carrier gas 攜帶氣體 cassette (承)片架 cation 陽離子 caustic 腐蝕性的 cavitation 超聲波能 CD 關(guān)鍵尺寸 CD-SEM 線寬掃描電鏡 Celsius adj. 攝氏的 center of focus(COF) 焦點 焦平面 center slow 中心慢速 central processing unit(CPU) 中央處理器 ceramic substrate 陶瓷封裝 CERDIP 陶瓷雙列直插封裝 Channel 溝道 channel length 溝道長度 channeling 溝道效應 charge carrier 載流子 chase 技術(shù)夾層 chelating agent 螯合劑 chemical amplification(CA) 化學放大膠 chemical etch mechanism 化學刻蝕機理 chemical mechanical planarization(CMP) 化學機械平坦化 chemical solution 化學溶液 chemical vapor deposition(CVD) 化學氣相淀積 chip 芯片 chip on board(COB) 板上芯片 chip scale package(CSP) 芯片尺寸封裝 circuit geometries 電路幾何尺寸 class number 凈化級別 cleanroom 凈化間 cleanroom protocol 凈化間操作規(guī)程 Clearfield mask 亮場掩膜板 Cluster tool 多腔集成設(shè)備 CMOS 互補金屬氧化物半導體 CMP 化學機械平坦化 Coater/developer track 涂膠/顯影軌道 Cobalt silicide 鈷硅化合物 coefficient n. [數(shù)]系數(shù) Coefficient of thermal expansion(CTE) 熱漲系數(shù) Coherence probe microscope 相干探測顯微鏡 Coherent light 相干光 coil v. 盤繞, 卷 Cold wall 冷壁 Collector 集電極 Collimated light 平行光 Collimated sputtering 準直濺射 Compensate v. 償還, 補償, 付報酬 Compound semiconductor 化合物半導體 Concentration 濃度 Condensation 濃縮 Conductor 導體 constantly adv. 不變地, 經(jīng)常地, 堅持不懈地 Confocal microscope 共聚焦顯微鏡 Conformal step coverage 共型臺階覆蓋 Contact 接觸(孔) Contact alignment 接觸式對準(光刻) Contact angle meter 接觸角度儀 Contamination 沾污、污染 conti boat 連柱舟 conticaster [冶]連鑄機 Continuous spray develop 連續(xù)噴霧顯影 Contour maps 包絡(luò)圖、等位圖、等值圖 Contrast 對比度、反差 contribution n. 捐獻, 貢獻, 投稿 Conventional-line photoresist 常規(guī)I線光刻膠 Cook’s theory 庫克理論 Copper CVD 銅CVD Copper interconnect 銅互連 Cost of ownership(COO) 業(yè)主總成本 Covalent bond 共價鍵 Critical dimension 關(guān)鍵尺寸 Cryogenic aerosol cleaning 冷凝浮質(zhì)清洗 Cryogenic pump(cryopump) 冷凝泵 Crystal 晶體 Crystal activation 晶體激活 Crystal defect 晶體缺陷 Crystal growth 晶體生長 Crystal lattice 晶格 Crystal orientation 晶向 CTE 熱漲系數(shù) Current-driven current amplifier 電流驅(qū)動電流放大器 CVD 化學氣相淀積 Cycle time 周期 CZ crystal puller CZ拉單晶設(shè)備 Czochralski(CZ) method 切克勞斯基法 D damascene 大馬士革工藝 darkfiled detection 暗場檢測 darkfiled mask 暗場掩膜版 DC bias 直流偏壓 decompose v. 分解, (使)腐爛 deep UV(DUV) 深紫外光 default n. 默認(值), 缺省(值), 食言, 不履行責任, [律]缺席 v. 疏怠職責, 缺席, 拖欠, 默認 defects density 缺陷密度 defect 缺陷 deglaze 漂氧化層 degree of planarity(DP) 平整度 dehydration bake 去濕烘培,脫水烘培 density 密度 deplention mode 耗盡型 degree of focus 焦深 deposit n. 堆積物, 沉淀物, 存款, 押金, 保證金, 存放物 vt. 存放, 堆積 vi. 沉淀 deposition 淀積 deposited oxide layer 淀積氧化層 depth of focus 焦深 descum 掃底膜 design for test(DFT) 可測試設(shè)計 desorption 解吸附作用 develop inspect 顯影檢查 development 顯影 developer 顯影液 deviation n. 背離 device isolation 器件隔離 device technology 器件工藝 DI water 去離子水 Diameter n. 直徑 diameter grinding 磨邊 diborane (B2H6)乙硼烷 dichlorosilane(H2SiCL2) 二氯甲硅烷 die 芯片 die array 芯片陣列 die attach 粘片 die-by-die alignment 逐個芯片對準 dielectric 介質(zhì) dielectric constant 介電常數(shù) die matrix 芯片陣列 die separation 分片 diffraction 衍射 diffraction-limited optics 限制衍射鏡片 diffusion 擴散 diffusion controlled 受控擴散 digital/analog 數(shù)字/模擬 digital circuit diluent direct chip attach( DCA) directionality discrete dishing dislocation dissolution rate dissolution rate monitor(DRM) 溶解率監(jiān)測 DNQ-novolak 重氮柰醌-酚醛樹脂 Donor 施主 dopant profile 摻雜刨面) doped region 摻雜區(qū) doping 摻雜 dose monitor 劑量檢測儀 dose,Q 劑量 downstream reactor 順流法反應 drain 漏 drive-in 推進 dry etch 干法刻蝕 dry mechanical pump 干式機械泵 dry oxidation 干法氧化 dummy n. 啞巴, 傀儡, 假人, 假貨 adj. 虛擬的, 假的, 虛構(gòu)的 n. [計] 啞元 dynamic adj. 動力的, 動力學的, 動態(tài)的 E economies of scale 規(guī)模經(jīng)濟 edge bead removal 邊緣去膠 edge die 邊緣芯片 edge exclusion 無效邊緣區(qū)域 electrically erasable PROM電可擦除EPROM electrode 電極 electromigration 電遷徙 electron beam lithography 電子束光刻 electron cyclotron resonance 電子共振回旋加速器 electron shower 電子簇射,電子噴淋 electron stopping 電子阻止 electronic wafer map 硅片上電性能分布圖 electroplating 電鍍 electropolishing 電解拋光 electrostatic chuck 靜電吸盤 electrostatic discharge(ESD) 靜電放電 ellipsometry 橢圓偏振儀,橢偏儀 emitter 發(fā)射極 endpoint detection 終點檢測 engineering n. 工程(學) electrostatic discharge(EDX) 能量彌散譜儀 enhancement mode 增強型 epi 外延 epitaxial layer 外延層 epoxy underfill 環(huán)氧樹脂填充不足 erasable PROM 可擦除可編程只讀存儲器 erosion 腐蝕,浸蝕 establish vt. 建立, 設(shè)立, 安置, 使定居, 使人民接受, 確定 v. 建立 etch 刻蝕 etch bias 刻蝕漲縮量 etch profile 刻蝕刨面 etch rate 刻蝕速率 etch residue 刻蝕殘渣 etch uniformity 刻蝕均勻性 etchant 刻蝕劑 etchback planarization 返刻平坦化 eutectic attach 共晶焊接 eutectic temperature 共晶溫度 evaporation 蒸發(fā) even adj. 平的, 平滑的, 偶數(shù)的, 一致的, 平靜的, 恰好的, 平均的, 連貫的 adv. [加強語氣]甚至(...也), 連...都, 即使, 恰好, 正當 vt. 使平坦, 使相等 vi. 變平, 相等 n. 偶數(shù), 偶校驗 exceed vt. 超越, 勝過 vi. 超過其他 excimer laser 準分之激光 exposal n. 曝光, 顯露 exposure 曝光 exposure dose 曝光量 extraction electrode 吸極 extreme UV 極紫外線 extrinsic silicon 摻雜硅 F Fables 無制造廠公司 fabrication 制造 facilities 設(shè)施 factor n. 因素, 要素, 因數(shù), 代理人 fast ramp furnaces 快速升降溫爐 fault model 失效模式 FCC diamond 面心立方金剛石 feature size 特征尺寸 FEOL 前工序 Fick’s laws FICK定律 field-effect transistor 場效應晶體管 field oxide 場氧化 field-by-field alignment 逐場對準 field-programmable PROM 現(xiàn)場可編程只讀存儲器 film 膜 film stress 膜應力 final assembly and packaging 最終裝配和封裝 final test 終測 first interlayer dielectric(ILD-1)第一層層間介質(zhì) fixed oxide charge 固定氧化物電荷 flats 定位邊 flip chip 倒裝芯片 float zone 區(qū)熔法 fluorosilicate glass(FSG) 氟化玻璃 focal length 焦距 focal plane 焦平面 focal point 焦點 focus 聚焦 focus ion beam(FIB) 聚焦離子束 footprint 占地面積 formula n. 公式, 規(guī)則, 客套語 forward bias 正偏壓 four-point probe 四探針 frenkel defect Frenkel缺陷 front-opening unified pod(FOUP) 前開口盒 functional test 功能測試 furnace flat zone 恒溫區(qū) G g-line G線 gallium(Ga) 鎵 gallium arsenide(GaAs) 砷化鎵 gap fill 間隙填充 gas 氣體 gas cabinet 氣柜 gas manifold 氣瓶集裝 gas phase nucleation 氣相成核 gas purge 氣體沖洗 gas throughput 氣體產(chǎn)量 gate 柵 gate oxide 柵氧化硅 gate oxide integrity 柵氧完整性 germanium(Ge) 鍺 getter 俘獲 glass 玻璃 glazing 光滑表面 global alignment 全局對準 global planarization 全局平坦化 glow discharge 起輝放電 gray area 灰區(qū),技術(shù)夾層 gross defect 層錯 grove n. 小樹林 grown oxide layer 熱氧化生長氧化層 H Halogen 鹵素 hardbake 堅膜 hardware n. 五金器具, (電腦的)硬件, (電子儀器的)部件 HEPA filter 高效過濾器 hermetic sealing 密封 heteroepitaxy 異質(zhì)外延 heterogeneous reaction 異質(zhì)反應 hexamethyldisilazane(HMDS)六甲基二硅氨烷 high-density plasma(HDPCVD) 高密度等離子體化學氣相淀積 high-density plasma etch 高密度等離子刻蝕 high-pressure oxidation 高壓氧化 high-temperature diffusion furnace 高溫擴散爐 high vacuum 高真空 high vacuum pumps 高真空泵 hillock 小丘(鋁)尖刺 homoepitaxy 同質(zhì)外延 homogeneous reaction 同質(zhì)反應 horizontal adj. 地平線的, 水平的 horizontal furnace 臥式爐 hot electron 熱電子 hot wall 熱壁 hydrochloric acid(HCL) 鹽酸 hydrofluoric acid(HF) 氫氟酸 hydrogen(H2) 氫氣 hydrogen chloride(HCL) 氯化氫 hydrogen peroxide(H2O2) 雙氧水 hydeophilic 親水性 hydrophobic憎水性,疏水性 hyperfiltration 超過濾 I i-line I線 IC packaging 集成電路封裝 IC reliability 集成電路可靠性 Iddq testing 靜態(tài)漏電流測試 image resolution 圖象清晰度 圖象分解力 implant v. 灌輸(注入) impurity 雜質(zhì) increment n. 增加, 增量 initial adj. 最初的, 詞首的, 初始的 n. 詞首大寫字母 in situ measurements 在線測量 index of refraction 折射率 indium 銦 inductively coupled plasma(ICP) 電感耦合等離子體 inert gas 惰性氣體 infrared interference 紅外干涉 ingot 錠 ink mark 墨水標識 in-line parametric test 在線參數(shù)測試 input/output(I/O)pin 輸入/輸出管腳 institute n. 學會, 學院, 協(xié)會 vt. 創(chuàng)立, 開始, 制定, 開始(調(diào)查), 提起(訴訟) insulator 絕緣體 integrate vt. 使成整體, 使一體化, 求...的積分 v.結(jié)合 integrated circuit(IC)集成電路 integrated measurement tool 集成電路測量儀 interval n. 間隔, 距離, 幕間休息 n. 時間間隔 interconnect 互連 interconnect delay 互連連線延遲 interface-trapped charge 界面陷阱電荷 interferometer 干涉儀 interlayer dielectric(ILD) 層間介質(zhì) interstitial 間隙(原子) intrinsic silicon 本征硅 invoke v. 調(diào)用 ion 離子 ion analyzer 離子分析儀 ion beam milling or ion beam etching(IBE) 離子銑或離子束刻蝕 ion implantation 離子注入 ion implantation damage 離子注入損傷 ion implantation doping 離子注入摻雜 ion implanter 離子注入機 ion projection lithography(IPL) 離子投影機 ionization 離子化 ionized metal plasma PVD 離子化金屬等離子PVD IPA vapor dry 異丙醇氣相干燥 isolation regions 隔離區(qū) isotropic etch profile 各向同性刻蝕刨面 J JEFT 結(jié)型場效應管 junction(pn) PN結(jié) junction depth 結(jié)深 junction spiking 結(jié)尖刺 K Kelvin 絕對溫度 killer defect 致命缺陷 kinetically controlled reaction 功能控制效應 L laminar air flow 層狀空氣流,層流式 lapping 拋光 latchup 閂鎖效應 lateral diffusion 橫向擴散 law of reflection 反射定律 LDD 輕摻雜漏 Leadframe 引線框架 leakage cuttent 漏電流 len 透鏡 lens compaction 透鏡收縮 light 光 light intensity 光強 light scattering 光散射 lightly doped drain(LDD) 輕摻雜漏 linear 線性 linear accelerator 線性加速器 linear stage 線寬階段,線性區(qū) linewidth 線寬 liquid 液體 lithography 光刻 loaded brush 沾污的毛刷 loaded effect 負載效應 loadlock 真空鎖 local interconnect(LI) 局部互連 local planarization 局部平坦化 local oxidation of silicon(LOCOS) 硅局部氧化隔離法 logic 邏輯 lot 批 low-pressure chemical vapor deposition (LPCVD) 低壓化學氣相淀積 LSI 大規(guī)模集成電路 M magnetic CZ(MCZ)磁性切克勞斯基晶體生長法 magnetically enhanced RIE(MERIE) 磁增強反應離子刻蝕 magnetron sputtering 磁控濺射 Magnification n. 擴大, 放大倍率 magnificent adj. 華麗的, 高尚的, 宏偉的 majority carrier 多子 make-up loop 補償循環(huán) mask 掩膜版 n. 面具, 掩飾, 石膏面像 vt. 戴面具, 掩飾, 使模糊 vi. 化裝, 戴面具, 掩飾, 參加化裝舞會 mask-programmable gate array 掩膜可編程門陣列 mass flow controller(MFC) 質(zhì)量流量計 mass spectrometer 質(zhì)譜儀 mass-transport limited reaction 質(zhì)量傳輸限制效應 mathematical adj. 數(shù)學的, 精確的 mean free path(MFP) 平均自由程 medium vacuum 中真空 megasonic cleaning 超聲清洗 melt 熔融 membrane contactor 薄膜接觸器,隔膜接觸器 membrane filter 薄膜過濾器,隔膜過濾器 merchant n. 商人, 批發(fā)商, 貿(mào)易商, 店主 adj. 商業(yè)的, 商人的 mercury arc lamp 汞燈 MESFET 用在砷化鎵結(jié)型場效應晶體管中的金屬柵 metal contact 金屬接觸孔 metal impurities 金屬雜質(zhì) metal stack 復合金屬,金屬堆疊 metallization 金屬化 metalorganic CVD 金屬有機化學氣相淀積 metrology 度量衡學 microchip 微芯片 microdefect 微缺陷 microlithography 微光刻 microloading 微負載,與刻蝕相關(guān)的深寬比 micron 微米 microprocessor n. [計]微處理器 microprocessor unit 微處理器 microroughness 微粗糙度 Miller indices 密勒指數(shù) minienvironment 微環(huán)境 minimum geometry 最小尺寸 minority carrier 少子 mix and match 混合與匹配 mobile ionic contaminants(MIC)可動離子沾污 mobile oxide charge 可動氧化層電荷 module n. 模數(shù), 模塊, 登月艙, 指令艙 modify vt. 更改, 修改 v. 修改 molecular beam epitaxy (MBE) 分子束外延 molecular flow 分子流 monitor wafer(test wafer) 陪片,測試片,樣片 monocrystal 單晶 monolithic device 單片器件 Moores law 摩爾定律 MOS 金屬氧化物半導體 MOSFET 金屬氧化物半導體場效應管 motor curreant endpoint 電機電流終點檢測(法) MSI 中規(guī)模集成電路 Multiplier n. 增加者, 繁殖者, 乘數(shù), 增效器, 乘法器 multichip module(MCM) 多芯片模式 multilenel metallization 多重金屬化 Murphys model 墨菲模型 N nanometer(nm) 納米 native oxide 自然氧化層 n-channel MOSFET n溝道MOSFET negatine resist 負性光刻膠 negative n. 否定, 負數(shù), 底片 adj. 否定的, 消極的, 負的, 陰性的 vt. 否定, 拒絕(接受) negatine resist development 負性光刻膠顯影 neutral beam trap 中性束陷阱 next-generation lithography 下一代光刻技術(shù) nitric acid(HNO3) 硝酸 nitrogen(N2) 氮氣 nitrogen trifluoride(NF3) 三氟化氮 nitrous oxide (N2O) 一氧化二氮、笑氣 nMOS n溝道MOS場效應晶體管 noncritical layer 非關(guān)鍵層 nonvolatile memory 非揮發(fā)性存儲器 normality 歸一化 notch 定位槽 novolak 苯酚甲醛聚樹脂材料 npn npn型(三極管) n-type silicon n型硅 nuclear stopping 離子終止 nucleation 成核現(xiàn)象,晶核形成 nuclei coalescence 核合并 numerical aperture(NA) 數(shù)值孔徑 n-well n阱 O objective (顯微鏡的)物鏡 off-axis illumination(OAI) 偏軸式曝光,離軸式曝光 ohmic contact 歐姆接觸 op amp 運算放大器 optical interferometry endpoint 光學干涉法終點檢測 optical lithography 光學光刻 optical microscope(light microscope) 光學顯微鏡 optical proximity correction(OPC) 光學臨近修正 optical pyrometer 光學高溫計 optics 光學 organic compound 有機化合物 out-diffusion 反擴散 outgassing 除氣作用 overdrive 過壓力 overetch step 過刻蝕 overflow rinser 溢流清洗 overlay accuracy 套準精度 overlay budget 套準偏差 overlay registration 套刻對準 oxidation 氧化 oxidation-induced stacking faults(OISF) 氧化誘生層積缺陷,氧化誘生堆垛層錯 oxide 氧化物、氧化層、氧化膜 oxidezer 氧化劑 oxide-trapped charge 氧化層陷阱電荷 ozone(O3) 臭氧 P package 封裝管殼 pad conditioning 墊修整 pad oxide 墊氧化膜 paddle 懸臂 n. 短槳, 劃槳, 明輪翼 vi. 劃槳, 戲水, 涉水 vt. 用槳劃, 攪, 拌 parabolic stage 拋物線階段 parallel-plate(planar)reactor 平板反應 parallel testing 并行測試 parameter 參數(shù) parametric test 參數(shù)測試 parasitic 寄生 parasitic capacitance 寄生電容 parasitic resistance 寄生電阻 parasitic transistor 寄生電阻器 partial pressure 分壓 particle density 顆粒密度 particle per wafer per pass(PWP) 每步每片上的顆粒數(shù) passivation 鈍化 passivation layer 鈍化層 passive components 無源元件 pattern sensitivity 圖形靈敏性 patterned etching 圖形刻蝕 pattern wafer 帶圖形硅片 patterning 圖形轉(zhuǎn)移,圖形成型,刻印 pc board 印刷電路版 p-channel MOSFET p溝道MOSFET PCM 工藝控制監(jiān)測 PEB 曝光后烘焙 PECVD 等離子體增強化學氣相淀積 PEL 允許曝露極限值 pellicle 貼膜 pentavalent 五價元素 perform vt. 履行, 執(zhí)行, 表演, 演出 v. 完成任務 performing adj. 表演的, 履行的 perimete array 周邊陣列式(封裝) pH scale pH值 phase-shift mask(PSM) 相移掩膜技術(shù) phosphine(PH3) 磷化氫 phosphoric acid(H3PO4) 磷酸 phosphorus(P) 磷 phosphorus oxychloride(POCL3) 三氯氧磷 phosphosilicate glass(PSG) 磷硅玻璃 photoacid generator(PAG) 光酸產(chǎn)生劑 photoacoustics 光聲的 photoactive compound(PAC) 感光化合物 photography n. 攝影, 攝影術(shù) 光刻 photolithography 光刻(技術(shù)) photomask 光掩膜 photoresist 光刻膠 photoresist stripping 去膠、光刻膠去除 physical etch mechanism 物理刻蝕機理 physical vapor deposition(PVD) 物理氣相淀積 pigtail 引出頭 pin grid array(PGA) 針柵陣列式(封裝) pinhole 針孔 piranha 3號液 pitch 間距 planar 平面 planar capacitor 平面電容 planar process 平面工藝 planarization 平坦化 plasma 等離子體 n. [解]血漿, 乳漿,[物]等離子體,等離子區(qū) plasma-based dry cleaning 等離子體干法清洗 plasma electron flood 等離子電子流 plasma enhanced CVD(PECVD) 等離子體增強CVD plasma etch 等離子體刻蝕 plasma-induced damage 等離子體誘導損傷 plasma potential distribution 等離子體勢分布 plastic dual in-line package(DIP) 雙列直插塑料封裝 plastic leaded chip carrier(PLCC) 塑料電極芯片載體 plastic packaging 塑料封裝 plug 塞,填充vt. 堵, 塞, 插上, 插栓 n 塞子, 插頭, 插銷 pMOS(p-channel) p溝道MOS pn junction diode pn 結(jié)型二極管 pnp pnp 型三極管 point defect 點缺陷 Poissons model 泊松模型 polarization 極化,偏振 polarized light 極化光,偏振光 polish 拋光 polish rate 拋光速率 polished wafer edge(edge grind) 倒角 polishing loop 磨拋循環(huán) polishing pad 拋光(襯)墊 polycide 多晶硅化物 polycrystal 多晶 polymer n. 聚合體 polymer formation 聚合物方程式 polymerization 聚合作用 polysilicon 多晶硅 polysilicon gate 多晶硅柵 portion n. 一部分, 一分 positive lithography 正性光刻 positive resist 正性光刻膠 positive resist development 正性光刻膠顯影 post-develop inspection 顯影后檢查 post-exposure bake(PEB) 曝光后烘焙 ppb 十億分之幾 ppm 百萬分之幾 ppt 萬億分之幾 preamorphization 預非晶化 precursor 先驅(qū)物 predeposition 預淀積 premetal dielectric(PMD) 金屬前介質(zhì) preston equation Preston方程 primary orientation flat 主定位邊 print bias光刻漲縮量 printed circuit boade(PCB) 印刷電路板 probe 探針 probe card 探針卡 prober 探針臺 process 工藝 process chamber 工藝腔,工藝反應室 process chemical 工藝化學 process control monitor(PCM) 工藝控制監(jiān)測(圖形) process latitude 工藝水平,工藝能力 process recipe 工藝菜單 programmable array logic(PLA) 可編程陣列邏輯 programmable logic device 可編程邏輯器件 programmable read-only memory可編程只讀存儲器 projected range 投影射程 prompt n. 提示, 付款期限 vt. 提示, 鼓動, 促使, (給演員)提白 adj. 敏捷的, 迅速的, 即時的 adv. 準時地 n. DOS命令:改變DOS系統(tǒng)提示符的風格 proportion n. 比例, 均衡, 面積, 部分 vt. 使成比例, 使均衡, 分攤 proportional adj. 比例的, 成比例的, 相稱的, 均衡的 proportional band 比例區(qū), 比例帶, 比例尺范圍 proximity aligner 接近式光刻機 p-type silicon P型硅 puddle develop 攪拌式顯影 pump speed 抽氣速率 punchthrough 穿通 purge (沖氣)清洗 purge cycle (沖氣抽氣)清洗循環(huán) PVD 物理氣相淀積 p-well P阱 pyrogenic steam 熱流 pyrogen 熱原(質(zhì)) pyrolytic熱解 pyrophoric 自燃的 Q quad flatpack(QFP) 方型管殼封裝 quadrupole mass analyzer(QMA) 四極質(zhì)量分析儀 quality measure 質(zhì)量測量 quarz 石英 quarz tube 石英管 quarz wafer boat 石英舟 queue time 排隊時間 R radiation damage 輻射損傷 radical 激發(fā) random access memory(RAM) 隨機存儲器 range 射程 rapid thremal anneal(RTA) 快速熱退火 rapid thermal processor(RTP) 快速熱處理 RCA clean RCA清洗 reaction rate limited 反應速率限制 reactive ion etch(RIE) 反應離子刻蝕 reactivity 反應性 reactor 反應室,反應腔 read-only memory(ROM) 只讀存儲器 recombination 復合 redistribution 再分布 reflection spectroscopy 反射光譜儀 reflective notching 反射開槽 reflow 回流 refraction 折射 refractory metal 難融金屬 regeneration再生 regeneration套準精度 relative index of refraction,n removal n. 移動, 免職, 切除 repeat n. 重復, 反復 vt. 重做, 復述, 向他人轉(zhuǎn)述, 復制, 使再現(xiàn) vi. 重復, 留有味道 representation n. 表示法, 表現(xiàn), 陳述, 請求, 扮演, 畫像, 繼承, 代表 reset v. 重新安排 residual gas analyzer(RGA) 殘余氣體分析器 resist 光刻膠 resist development 光刻膠顯影 resistance 電阻 resistivity 電阻率 resolution 分辨率 reticle 掩膜版 retrograde well 倒摻雜阱 reverse bias 反偏 reverse osmosis(RO) 反向滲透 RF 射頻 RF sputtering 射頻濺射 rinse v. 嗽口, (用清水)刷, 沖洗掉, 漂凈 n. 清洗 嗽洗, 漂洗, 漂清, 沖洗 RO 反向滲透 Roots blower 羅茨(機械增壓)泵 roughing pump 低真空泵,機械泵 RTA 快速熱退火 RTP 快速熱處理 S satisfy vt. 滿足, 使?jié)M意, 說服, 使相信 v. 滿意, 確保 Scaling 按比例縮小 SCALPEL 具有角度限制分散投影電子束光刻 Scanner 掃描儀 scanning electron microscope(SEM) 掃描電子顯微鏡 scanning projection aligner 掃描投影光刻機 schottky diode 肖特基二極管 screen oxide layer 掩蔽氧化層 scribe line 劃片道 scribe line monitor(SLM) 劃片線監(jiān)測 scumming 底膜 secondary electron 二次電子 secondary electron flood 二次電子流 secondary ion mass spectrometry(SIMS) 二次離子質(zhì)譜(- 1.請仔細閱讀文檔,確保文檔完整性,對于不預覽、不比對內(nèi)容而直接下載帶來的問題本站不予受理。
- 2.下載的文檔,不會出現(xiàn)我們的網(wǎng)址水印。
- 3、該文檔所得收入(下載+內(nèi)容+預覽)歸上傳者、原創(chuàng)作者;如果您是本文檔原作者,請點此認領(lǐng)!既往收益都歸您。
下載文檔到電腦,查找使用更方便
9.9 積分
下載 |
- 配套講稿:
如PPT文件的首頁顯示word圖標,表示該PPT已包含配套word講稿。雙擊word圖標可打開word文檔。
- 特殊限制:
部分文檔作品中含有的國旗、國徽等圖片,僅作為作品整體效果示例展示,禁止商用。設(shè)計者僅對作品中獨創(chuàng)性部分享有著作權(quán)。
- 關(guān) 鍵 詞:
- 半導體 制造 專業(yè) 英語 術(shù)語
鏈接地址:http://www.szxfmmzy.com/p-8937679.html