九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁 裝配圖網(wǎng) > 資源分類 > DOC文檔下載  

電子技術(shù)課程設(shè)計 字符發(fā)生器

  • 資源ID:91896580       資源大小:290KB        全文頁數(shù):18頁
  • 資源格式: DOC        下載積分:16積分
快捷下載 游客一鍵下載
會員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要16積分
郵箱/手機:
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機號,方便查詢和重復(fù)下載(系統(tǒng)自動生成)
支付方式: 支付寶    微信支付   
驗證碼:   換一換

 
賬號:
密碼:
驗證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會被瀏覽器默認打開,此種情況可以點擊瀏覽器菜單,保存網(wǎng)頁到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請知曉。

電子技術(shù)課程設(shè)計 字符發(fā)生器

電子技術(shù)課程設(shè)計 -16X16字符發(fā)生器學(xué) 院 : 專 業(yè) :班 級 :姓 名 : 學(xué) 號 :指導(dǎo)教師 : 16X16字符發(fā)生器一設(shè)計任務(wù)及要求1.設(shè)計任務(wù):利用實驗箱上16X16點陣,設(shè)計字符發(fā)生器,可以循環(huán)顯 示預(yù)置字符:同心協(xié)力 發(fā)奮向上。 2.設(shè)計要求:(1)利用VHDL編寫字符掃描驅(qū)動電路; (2)設(shè)計一個可以自動循環(huán)顯示8個字符的電路; 3編寫預(yù)置字符的rom程序生成模塊接入電路。 行選線產(chǎn)生信號發(fā)光二極管顯示屏列選線產(chǎn)生電路EPROM存儲器地址計數(shù)器時鐘脈沖源設(shè)計思路:此電路由八進制計數(shù)器,ROM和ADDRESS1這三局部構(gòu)成。ADDRESS1的作用是在時鐘clk的作用下將從rom中讀出的信號對應(yīng)正確的寫在16×16的點陣上。Reset是復(fù)位端,起復(fù)位作用。輸出addr4.1選中16X16LED點陣的對應(yīng)列,隨著addr4.1值得增加,從左往右依次選中點陣的各列addr0那么決定輸出是在高位還是在低位。FLEX10的lout0-7和hout0-7分別與LED點陣的低八位L0-7和高八位L8-15相連。八進制計數(shù)器是在addr7.0輸出0-7的循環(huán)計數(shù),由此輸出與ADDRESS1的輸出addr4.0共同作為rom的輸入地址,以此決定q7.0的輸出即ADDRESS1的DIN7.0的輸入,此八進制計數(shù)器是由74LS160十進制計數(shù)器修改得來的,輸出由原來十進制的0-9循環(huán)輸出變?yōu)榘诉M制的0-7循環(huán)輸出。Rom是一個用來存儲數(shù)據(jù)的具有讀寫功能的的存儲器,在此電路中的作用是存儲“同心協(xié)力 發(fā)奮向上八個字.在addr7.0輸入相應(yīng)的地址時讀取rom中的相應(yīng)的數(shù)據(jù),然后在輸出端q7.0輸出。在時鐘脈沖的作用下,地址計數(shù)器計數(shù),EPROM相對應(yīng)的地址單元中的代碼輸出,以驅(qū)動列選通線產(chǎn)生電路。地址計數(shù)器同時又為行選通線產(chǎn)生電路。地址隨著地址計數(shù)器計數(shù)值的變化,發(fā)光二極管顯示屏逐行掃描,顯示屏上顯示出字符或圖案。各模塊功能:1) ROM是只讀存儲器存放字符的代碼, 他是字符顯示器的核心部件。2發(fā)光二極管顯示屏用來顯示字符或圖案,他是由假設(shè)干發(fā)光二極管組成的點陣式顯示屏。 3) ADDRESS1的作用是在時鐘clk的作用下將從ROM259*8中讀出的信號對應(yīng)正確的寫在16×16的點陣上。三 選擇器件與功能模塊1. 用來構(gòu)成輸出八進制的74LS160十進制計數(shù)器(1) .74LS160器件圖 A. B.C.D 為輸入端; LDN為置數(shù)端;ENT與ENP為使能控制端;CLRN為置零端; RCO為進位輸出端; QA.QB.QC.QD為輸出端;CLK為脈沖信號輸入端.(2). 74160內(nèi)部結(jié)構(gòu)圖3.74160功能表CPCLRN(RD非)LDN(LD非) EP ET工作狀態(tài)X0X X X置零10 X X預(yù)置數(shù)X11 0 1保持X11 X 0保持(C=0)11 1 1計數(shù)(4).八進制計數(shù)器真值表CPQ3Q2Q1Q000000100012001030011401005010160110701115用74160構(gòu)成的8進制計數(shù)器原理圖6.八進制計數(shù)器時序仿真圖仿真分析:由仿真圖分析可知,輸出a,b,c將從"000"一直變化到"111",即一個脈沖周期結(jié)束返回,由此可證明此接法正確為八進制計數(shù)器.2說明: CLK為時鐘輸入端口; RESER為置零端; DIN7.0為接受ROM數(shù)據(jù)信號端口; AD4.0為片選地址輸出; HOUT7.0,LOUT7.0分別為高八位斷驅(qū)動和低八位短驅(qū)動.Address1設(shè)計程序:LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_unsigned.ALL;ENTITY address1 ISPORT( clk,reset:IN STD_LOGIC; ad :OUT STD_LOGIC_VECTOR(4 downto 0); din:in STD_LOGIC_VECTOR(7 DOWNTO 0); hout,lout:out STD_LOGIC_VECTOR(7 downto 0);END address1;ARCHITECTURE a OF address1 ISBEGIN process(clk,din,reset) VARIABLE Q1:STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK='1'THEN IF RESET='0'OR(Q1="11111")THEN Q1:="00000" ELSE Q1:=Q1+1; END IF; END IF; CASE Q1(0)IS WHEN '0'=> LOUT<=din; HOUT<=(others=>'0'); WHEN '1'=> HOUT<=din; LOUT<=(others=>'0'); WHEN OTHERS=> null; END CASE; ad<=Q1; END PROCESS;END a;模塊功能:地址選擇器包含行選線產(chǎn)生電路和列選線產(chǎn)生電路,從ROM中選擇相應(yīng)的地址輸出。Clk為掃描時鐘脈沖,控制掃描速度的快慢;RESET為復(fù)位端,只有在RESET為高電平的情況下,在脈沖上升沿到來時,ad計數(shù),其中ad4.1輸出掃描驅(qū)動信號,接入掃描片選端,依次選中LED點陣的各列,din輸入字符存儲器中每個存儲單元的數(shù)據(jù),hout7.0控制LED點陣的高八位lout7.0 控制LED點陣的低八位,din中存儲器中調(diào)用的數(shù)據(jù)模塊分別由hout7.0或lout7.0輸出,在LED點陣中經(jīng)掃描顯示字符。仿真圖: 3.用來存儲字符的ROM256X8存儲器(1) ROM的說明 在設(shè)計ROM時,根據(jù)ROM的大小,我們可以采用不同的設(shè)計方法進行設(shè)計,比方4x8,8x8或256x8的ROM可以采用數(shù)組描述或WHEN-ELSE.然而數(shù)組描述ROM在面積上是最有效的,在用數(shù)組描述時,常把數(shù)組常量的ROM放在一個程序包中,這種方法可以提供ROM的重用,在程序包中應(yīng)當(dāng)用常量定義ROM的大小.而用WHEN-ELSE描述一個ROM,它確實是最直觀的,它是類似查表的方法來設(shè)計的,本次設(shè)計就是一個用WHEN-ELSE設(shè)計的256x8的ROM.(2).存入ROM中的數(shù)據(jù)(文本格式.mif)width=8;depth=256;address_radix=hex;data_radix=hex;content begin00:00;01:00;02:fe;03:ff;04:02;05:00;06:02;07:00; -tong08:92;09:3f;0a:92;0b:10;0c:92;0d:10;0e:92;0f:10;10:92;11:10;12:92;13:10;14:92;15:10;16:da;17:3f;18:02;19:40;1a:02;1b:80;1c:ff;1d:7f;1e:02;1f:00;20:00;21:00;22:00;23:00;24:00;25:04;26:00;27:02; -xin28:c0;29:01;2a:00;2b:00;2c:f0;2d:3f;2e:01;2f:40;30:02;31:40;32:1c;33:40;34:08;35:40;36:00;37:07; 38:00;39:70;3a:80;3b:00;3c:80;3d:00;3e:00;3f:07;40:10;41:00;42:10;43:00;44:ff;45:ff;46:10;47:00; -xie 48:10;49:04;4a:80;4b:03;4c:00;4d:40;4e:10;4f:20;50:10;51:1c;52:ff;53:03;54:10;55:00;56:10;57:40; 58:10;59:80;5a:f8;5b:7f;5c:90;5d:00;5e:00;5f:03;60:10;61:80;62:10;63:80;64:10;65:80;66:10;67:60; -li 68:10;69:18;6a:ff;6b:07;6c:10;6d:00;6e:10;6f:00;70:10;71:00;72:10;73:00;74:10;75:20;76:10;77:40; 78:10;79:80;7a:10;7b:40;7c:f8;7d:3f;7e:10;7f:00;80:08;81:01;82:08;83:01;84:08;85:01;86:99;87:00; -fen88:88;89:ff;8a:ff;8b:49;8c:28;8d:49;8e:18;8f:49;90:0f;91:7f;92:18;93:49;94:28;95:49;96:48;97:49; 98:88;99:ff;9a:88;9b:00;9c:8c;9d:01;9e:88;9f:00;a0:10;a1:40;a2:10;a3:20;a4:18;a5:90;a6:16;a7:88; -faa8:10;a9:46;aa:90;ab:41;ac:f0;ad:23;ae:9f;af:14;b0:90;b1:08;b2:90;b3:14;b4:92;b5:22;b6:94;b7:21; b8:10;b9:40;ba:10;bb:c0;bc:18;bd:40;be:10;bf:00;c0:f8;c1:ff;c2:08;c3:00;c4:08;c5:00;c6:cc;c7:1f; -xiang c8:4a;c9:08;ca:49;cb:08;cc:48;cd:08;ce:48;cf:08;d0:48;d1:08;d2:48;d3:08;d4:48;d5:08;d6:e8;d7:1f; d8:48;d9:40;da:08;db:80;dc:fc;dd:7f;de:08;df:00; e0:00;e1:80;e2:00;e3:80;e4:00;e5:80;e6:00;e7:80; -shang e8:00;e9:80;ea:00;eb:80;ec:00;ed:80;ee:ff;ef:ff;f0:20;f1:80;f2:20;f3:80;f4:20;f5:80;f6:30;f7:80;f8:20;f9:80;fa:00;fb:80;fc:00;fd:c0;fe:00;ff:80;end;(2) 由VHDL編譯生成的ROM256X8存儲器圖 16×16掃描LED點陣的工作原理同8位掃描數(shù)碼管類似。它有16個共陰極輸出端口,每個共陰極對應(yīng)有16個LED顯示燈。所以其掃描譯碼地址需4位信號線。 2864E2PROM存貯器是電可擦除/編程的只讀存貯器,容量為8k×8bit ,有13位并行地址線和8位并行數(shù)據(jù)線,而一個完整的字符所需的存貯容間為32字節(jié)即32×8 bit,也就是說2864最多可連續(xù)存256個16×16點陣字形。存貯方式可事先約定好.ROM仿真圖仿真分析:從仿真結(jié)果可得:00:20; 01:80; 02:20; 03:40;04:20;05:20;06:20.與ROM的程序中的地址和信息是對應(yīng)的,由此可知此模塊是正確的,可以使用。五.總體設(shè)計電路圖 1.工作情況:將74LS160十進制計數(shù)器變?yōu)榱税诉M制的計數(shù)器。在輸入clk1的作用下在輸出端輸出000-111的地址數(shù)據(jù)。八進制輸出的地址數(shù)據(jù)與ADDRESS1的輸出addr4.0共同作為了存儲器ROM的地址輸入。此輸入地址選定了存儲器中在該地址上存儲的數(shù)據(jù)。然后將數(shù)據(jù)在存儲器的輸出端q7.0輸出。存儲器的輸出作用下輸出的addr4.1決定了輸出結(jié)果在16×16的點陣上列循環(huán)掃描。而addr0那么決定著輸出在16×16的點陣上的行掃描,也即輸出時在低位lout還是在高位hout。 總體上說來就是計數(shù)器提供局部地址,而ROM是一個字符的存儲庫,ADDRESS1那么是決定著要輸出的字符如何在16×16的點陣上掃描輸出。 2.模塊間的連接關(guān)系:計數(shù)器的輸出連接在 ROM259×8的地址輸入端,ROM259×8的輸出q7.0連接在 ADDRESS1的din7.0端。 ADDRESS1的輸出addr4.0又回到了ROM256×8的地址輸入端。1.時序仿真結(jié)果仿真分析:RESET接低電平;CLK1為CLK的10倍,當(dāng)CLK1第一個上升沿未到來時,列選信號輸出端為"00",即點陣第一列選通,此時LED低八位L7L4為"1110"十六進制為'E',L3L0為"0000"十六進制為'0'LED高八位L15L12為"0000"十六進制為'0',L11L8為"0000"十六進制為'0'.由此可推斷模擬結(jié)果符合設(shè)計要求.2. 管腳分配圖3實驗連線管腳編號管腳定義管腳編號管腳定義22Clk39hout423Clk147hout524reset48hout625addr049hout727addr150lout028addr251lout129addr352lout230addr453lout335hout054lout436hout158lout537hout259lout638hout360lout7 clk驅(qū)動器ADDRESS1時鐘信號輸入端,頻率f=500hzClk1計數(shù)器74160時鐘信號輸入端.頻率F=5KHZreset驅(qū)動器ADDRESSS1置零端,低電平有效Lout7.0點陣低八位數(shù)據(jù)輸入端Hout7.0點陣高八位數(shù)據(jù)輸入端Addr4.0點陣列選地址控制端將CLK1端接時鐘輸出,并使輸入頻率約為8KHZ,CLK端接輸入頻率約為100HZ的時鐘信號; addr4.0接顯示模塊的SEL0,SEL1,SEL2,SEL3;LOUT7.0和HOUT7.0分別與LED輸入端L15-L0的低8位和高8位L8L15相連.連接好后翻開開關(guān),接上連接線進行下載。實驗完成后,led點陣隨著clk1的變化逐次顯示“同心協(xié)力 發(fā)奮向上 八個大字。六心得體會 通過本次課程設(shè)計我感觸很深,這是我做的第一次課程設(shè)計,從開始到結(jié)束到是在匆匆忙忙的生活中度過的,在這三個星期的日子里,可以說是苦多于甜,但是可以學(xué)的到很多很多的東西,同時不僅可以穩(wěn)固以前所學(xué)過的知識,而且學(xué)到了很多在書本上所沒有學(xué)到過的知識。通過這次設(shè)計,進一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。在編輯模塊過程中我遇到很多問題,所以慢慢的很少討論問題的我跟同學(xué)對設(shè)計的交流成了家常便飯,在整個過程中雖然付出了很多的努力,當(dāng)看到顯示頻上不斷跳出自己設(shè)計的字符時我覺得任何困難都值得,一種成就感尤然而聲。 通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學(xué)的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會效勞,從而提高自己的實際動手能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,難免會遇到過各種各樣的問題,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的缺乏之處,對以前所學(xué)過的知識理解得不夠深刻,掌握得不夠牢固。總的來說,這次設(shè)計的字符發(fā)生器還是比擬成功的,在設(shè)計中遇到了很多問題,最后在老師的辛勤的指導(dǎo)下游逆而解,終于覺得平時所學(xué)的知識有了實用的價值,到達了理論與實際相結(jié)合的目的,不僅學(xué)到了不少知識,而且鍛煉了自己的能力,使自己對以后的路有了更加清楚的認識,同時,對未來有了更多的信心。在這次實驗中有同學(xué)老師對我給予了很大的幫助,最后我向他們表示衷心的感謝,在完成這次實驗后我想對大家說“我們的未來不是夢! 七附錄 目 錄一 設(shè)計任務(wù)及要求-1二 總體框圖-1三 器件選擇與功能模塊-2用來構(gòu)成輸出八進制的74LS160模塊-2-5用來存儲字符的ROM256X8存儲器-7四 16X16點陣模塊-10五 總體設(shè)計圖-11六 心得體會-14附錄

注意事項

本文(電子技術(shù)課程設(shè)計 字符發(fā)生器)為本站會員(r****d)主動上傳,裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng)(點擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因為網(wǎng)速或其他原因下載失敗請重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!