九九热最新网址,777奇米四色米奇影院在线播放,国产精品18久久久久久久久久,中文有码视频,亚洲一区在线免费观看,国产91精品在线,婷婷丁香六月天

歡迎來到裝配圖網(wǎng)! | 幫助中心 裝配圖網(wǎng)zhuangpeitu.com!
裝配圖網(wǎng)
ImageVerifierCode 換一換
首頁(yè) 裝配圖網(wǎng) > 資源分類 > DOCX文檔下載  

×16點(diǎn)陣LED電子顯示屏的設(shè)計(jì)-機(jī)器人創(chuàng)新設(shè)計(jì).docx

  • 資源ID:9263479       資源大小:845.34KB        全文頁(yè)數(shù):17頁(yè)
  • 資源格式: DOCX        下載積分:9.9積分
快捷下載 游客一鍵下載
會(huì)員登錄下載
微信登錄下載
三方登錄下載: 微信開放平臺(tái)登錄 支付寶登錄   QQ登錄   微博登錄  
二維碼
微信掃一掃登錄
下載資源需要9.9積分
郵箱/手機(jī):
溫馨提示:
用戶名和密碼都是您填寫的郵箱或者手機(jī)號(hào),方便查詢和重復(fù)下載(系統(tǒng)自動(dòng)生成)
支付方式: 支付寶    微信支付   
驗(yàn)證碼:   換一換

 
賬號(hào):
密碼:
驗(yàn)證碼:   換一換
  忘記密碼?
    
友情提示
2、PDF文件下載后,可能會(huì)被瀏覽器默認(rèn)打開,此種情況可以點(diǎn)擊瀏覽器菜單,保存網(wǎng)頁(yè)到桌面,就可以正常下載了。
3、本站不支持迅雷下載,請(qǐng)使用電腦自帶的IE瀏覽器,或者360瀏覽器、谷歌瀏覽器下載即可。
4、本站資源下載后的文檔和圖紙-無水印,預(yù)覽文檔經(jīng)過壓縮,下載后原文更清晰。
5、試題試卷類文檔,如果標(biāo)題沒有明確說明有答案則都視為沒有答案,請(qǐng)知曉。

×16點(diǎn)陣LED電子顯示屏的設(shè)計(jì)-機(jī)器人創(chuàng)新設(shè)計(jì).docx

機(jī)器人創(chuàng)新設(shè)計(jì)課程設(shè)計(jì)報(bào)告書題目:1616點(diǎn)陣LED電子顯示屏的設(shè)計(jì)姓名:張津?qū)W號(hào):1613010320專業(yè):國(guó)際經(jīng)濟(jì)與貿(mào)易指導(dǎo)老師:于大泳設(shè)計(jì)時(shí)間:2017年3月 管理學(xué)院目錄1. 引言.31.1 設(shè)計(jì)意義.31.2 系統(tǒng)功能要求.32. 方案設(shè)計(jì).43. 硬件設(shè)計(jì).54. 軟件設(shè)計(jì).85. 系統(tǒng)調(diào)試.96. 設(shè)計(jì)總結(jié).117. 附錄A.:源程序.118. 附錄B.:作品實(shí)物照片.169. 參考文獻(xiàn).171616點(diǎn)陣LED電子顯示屏的設(shè)計(jì)1. 引言1.1 設(shè)計(jì)意義 目前廣告牌具有顯示內(nèi)容豐富、信息量大、信息更換速度快等特點(diǎn)。因此傳統(tǒng)的LED顯示屏控制系統(tǒng)已經(jīng)越來越不能滿足現(xiàn)代廣告宣傳業(yè)的需要。而利用PC機(jī)通信技術(shù)控制LED顯示屏,則具有顯示內(nèi)容豐富,信息更換靈活等優(yōu)點(diǎn)。1.2 系統(tǒng)功能要求本設(shè)計(jì)是一1616點(diǎn)陣LED電子顯示屏的設(shè)計(jì)。整機(jī)以40腳單片機(jī)AT89C51為核心,通過該芯片控制列驅(qū)動(dòng)器74HC595來驅(qū)動(dòng)顯示屏顯示。該電子顯示屏可以顯示各種文字或單色圖像,全屏能顯示1個(gè)漢字。顯示可以采用動(dòng)態(tài)顯示,使得圖形或文字能夠?qū)崿F(xiàn)靜止、移入移出等多種顯示方式。開關(guān)控制顯示“礦大電氣五班”、“電子綜合設(shè)計(jì)”的字幕。同時(shí)還要實(shí)現(xiàn)的功能:5V的電壓輸入,時(shí)鐘電路的設(shè)置,復(fù)位電路的設(shè)置,單片機(jī)給74HC154芯片同時(shí)給E1和E2低電平,74LS154才能正常的工作。例如如果想使左上角LED點(diǎn)亮,則Y0=1,X0=0即可。應(yīng)用時(shí)限流電阻可以放在X軸或Y,16*16LED點(diǎn)陣如圖1.2.1所示。 圖1.2.12.方案設(shè)計(jì)16X16點(diǎn)陣LED工作原理說明 : 16X16點(diǎn)陣共需要256個(gè)發(fā)光二極管組成,且每個(gè)發(fā)光二極管是放置在行線和列線的交叉點(diǎn)上,當(dāng)對(duì)應(yīng)的某一列置1電平,某一行置0電平,則相應(yīng)的二極管就亮;因此要實(shí)現(xiàn)一根柱形的亮法,對(duì)應(yīng)的一列為一根豎柱,或者對(duì)應(yīng)的一行為一根橫柱,因此實(shí)現(xiàn)柱的亮的方法如下所述:一根豎柱:對(duì)應(yīng)的列置1,而行則采用掃描的方法來實(shí)現(xiàn)。 一根橫柱:對(duì)應(yīng)的行置0,而列則采用掃描的方法來實(shí)現(xiàn)。需要實(shí)現(xiàn)的功能如下圖流程圖圖2.2所示圖2.2本電路使用AT89C51實(shí)現(xiàn)行驅(qū)動(dòng),對(duì)顯示模塊從上至下的掃描,用74HC154和三極管實(shí)現(xiàn)列驅(qū)動(dòng),對(duì)顯示模塊從左至右的掃描,然后顯示字符。在中規(guī)模集成電路中譯碼器有幾種型號(hào),使用最廣的通常是74HC154譯碼器,74HC154是一款高速CMOS器件,74HC154引腳兼容低功耗肖特基TTL(LSTTL)系列。2. 硬件設(shè)計(jì)3.1 單片機(jī)系統(tǒng)及外圍電路3.1.1 單片機(jī)的選擇 本設(shè)計(jì)選用了AT89C51單片機(jī)作控制3.1.2 AT89C51芯片介紹概述:AT89C51為40 腳雙列直插封裝的8 位通用微處理器,采用工業(yè)標(biāo)準(zhǔn)的C51內(nèi)核,在內(nèi)部功能及管腳排布上與通用的8xc52 相同,其主要用于會(huì)聚調(diào)整時(shí)的功能控制。功能包括對(duì)會(huì)聚主IC 內(nèi)部寄存器、數(shù)據(jù)RAM及外部接口等功能部件的初始化,會(huì)聚調(diào)整控制,會(huì)聚測(cè)試圖控制,紅外遙控信號(hào)IR的接收解碼及與主板CPU通信等。主要管腳有:XTAL1(19 腳)和XTAL2(18 腳)為振蕩器輸入輸出端口,外接12MHz 晶振。RST(9 腳)為復(fù)位輸入端口,外接電阻電容組成的復(fù)位電路。VCC(40 腳)和VSS(20 腳)為供電端口,分別接+5V電源的正負(fù)端。P0P3 為可編程通用I/O 腳,其功能用途由軟件定義,在本設(shè)計(jì)中,P0 端口(3239 腳)被定義為N1 功能控制端口,分別與N1的相應(yīng)功能管腳相連接,13 腳定義為IR輸入端,10 腳和11腳定義為I2C總線控制端口,分別連接N1的SDAS(18腳)和SCLS(19腳)端口,12 腳、27 腳及28 腳定義為握手信號(hào)功能端口,連接主板CPU 的相應(yīng)功能端,用于當(dāng)前制式的檢測(cè)及會(huì)聚調(diào)整狀態(tài)進(jìn)入的控制功能。AT89C51的引腳圖如下圖3.1所示: 圖 3.13.1.3 單片機(jī)系統(tǒng)外圍電路單片機(jī)外圍電路一般有兩塊:時(shí)鐘電路(如圖3.1.3)和復(fù)位電路(3.1.4)時(shí)鐘電路由一個(gè)晶振和兩個(gè)小電容組成,用來產(chǎn)生時(shí)鐘頻率。復(fù)位電路由一個(gè)電阻、按鍵和一個(gè)電容組成,用來產(chǎn)生復(fù)位信號(hào),使單片機(jī)上電的時(shí)候復(fù)位。圖 3.1.3AT89C52單片機(jī)芯片內(nèi)部有一個(gè)反向放大器構(gòu)成的振蕩器,XTAL1和XTAL2分別為振蕩器電路的輸入端和輸出端,時(shí)鐘可由內(nèi)部和外部生成,在XTAL1和XTAL2引腳上外接定時(shí)元件,內(nèi)部振蕩電路就會(huì)產(chǎn)生自激振蕩。系統(tǒng)采用的定時(shí)元件為石英晶體和電容組成的并聯(lián)諧振回路。晶振頻率選擇12MHz,C1、成的電容值取22PF,電容的大小頻率起微調(diào)的作用圖3.1.4 單片機(jī)有多種復(fù)位電路,本系統(tǒng)采用電平式開關(guān)復(fù)位與上電復(fù)位方式,當(dāng)上電時(shí),C1相當(dāng)于短路,使單片機(jī)復(fù)位,在正常工作時(shí),按下復(fù)位時(shí)單片機(jī)復(fù)位。在有時(shí)碰到干擾時(shí)會(huì)造成錯(cuò)誤復(fù)位,但是大多數(shù)條件下,不會(huì)出現(xiàn)單片機(jī)錯(cuò)誤復(fù)位,而可能會(huì)引起內(nèi)部某些寄存器錯(cuò)誤復(fù)位,在復(fù)位端加一個(gè)去耦電容,則會(huì)得到很好的效果。3.2 驅(qū)動(dòng)電路3.2.1 74HC154芯片簡(jiǎn)介74HC154譯碼器可接受4位高有效二進(jìn)制地址輸入,并提供16個(gè)互斥的低有效輸出。74HC154的兩個(gè)輸入使能門電路可用于譯碼器選通,以消除輸出端上的通常譯碼“假信號(hào)”,也可用于譯碼器擴(kuò)展。該使能門電路包含兩個(gè)“邏輯與”輸入,必須置為低以便使能輸出端。任選一個(gè)使能輸入端作為數(shù)據(jù)輸入,74HC154可充當(dāng)一個(gè)1-16的多路分配器。當(dāng)其余的使能輸入端置低時(shí),地址輸出將會(huì)跟隨應(yīng)用的狀態(tài)。3.2.2 驅(qū)動(dòng)電路的構(gòu)成本設(shè)計(jì)的驅(qū)動(dòng)電路由三極管的集電極輸出給點(diǎn)陣顯示屏,使其足夠亮。其驅(qū)動(dòng)電壓為5V。行驅(qū)動(dòng)由P0口列驅(qū)動(dòng)電路如圖3.3.2所示:圖 3.2.23.3 16*16LED顯示屏電路和原理16*16LED顯示屏電路在proteus仿真中由四個(gè)8*8LED點(diǎn)陣組成的,其中二極管的正極控制器也就是AT89C51,負(fù)極接譯碼器也就是74HC154。顯示屏可以顯示字符、漢字、動(dòng)畫等任何圖形。該電路充分利用了單片機(jī)的IO口資源使整機(jī)硬件達(dá)到最簡(jiǎn)。16*16點(diǎn)陣的原理:點(diǎn)陣LED掃描法介紹點(diǎn)陣LED一般采用掃描式顯示,實(shí)際運(yùn)用分為三種方式:(1)點(diǎn)掃描;(2)行掃描;(3)列掃描。若使用第一種方式,其掃描頻率必須大于1664=1024Hz,周期小于1ms即可。電路如圖3.3所示: 圖 3.3 3.4 電源電路本設(shè)計(jì)采用電源線直接從家用電路中接入電源,經(jīng)變壓為5V,為電路供電。3. 軟件設(shè)計(jì) 本軟件要求實(shí)現(xiàn)如下要求:漢字要穩(wěn)定、明亮并且文字要以一定速度上升滾動(dòng)顯示。顯示屏軟件模塊:初始化程序、主程序、多字滾動(dòng)、顯示程序、掃描程序。顯示程序的主要功能是向屏體提供顯示數(shù)據(jù),并產(chǎn)生各種控制信號(hào),使屏幕按設(shè)計(jì)的要求顯示。軟件設(shè)計(jì)中,顯示屏的軟件系統(tǒng)分為兩層;第一層是底層的顯示驅(qū)動(dòng)程序,第二層是上層的系統(tǒng)應(yīng)用程序。顯示驅(qū)動(dòng)程序負(fù)責(zé)向屏體送顯示數(shù)據(jù),并負(fù)責(zé)產(chǎn)生行掃描信號(hào)和其他控制信號(hào),配合完成LED顯示屏的掃描顯示工作。顯示驅(qū)動(dòng)器程序由定時(shí)器T0中斷程序?qū)崿F(xiàn)。系統(tǒng)應(yīng)用程序完成系統(tǒng)環(huán)境設(shè)置(初始化)、顯示效果處理等工作,由主程序來實(shí)現(xiàn)。4.1顯示驅(qū)動(dòng)程序顯示驅(qū)動(dòng)程序在進(jìn)入中斷后首先要對(duì)定時(shí)器T0重新賦初值,以保證顯示屏刷新率的穩(wěn)定,1/16掃描顯示屏的刷新率(幀頻)計(jì)算公式如下:刷頻率(幀頻)=1/16T0溢=1/16f/12(65536-t) 其中f位晶振頻率,t為定時(shí)器T0初值(工作在16位定時(shí)器模式)。然后顯示驅(qū)動(dòng)程序查詢當(dāng)前燃亮的行號(hào),從顯示緩存區(qū)內(nèi)讀取下一行的顯示數(shù)據(jù),并通過串口發(fā)送給移位寄存器。為消除在切換行顯示數(shù)據(jù)的時(shí)候產(chǎn)生拖尾現(xiàn)象,驅(qū)動(dòng)程序先要關(guān)閉顯示屏,即消隱,等顯示數(shù)據(jù)打入輸出鎖存器并鎖存,然后再輸出新的行號(hào),重新打開顯示。圖六為顯示驅(qū)動(dòng)程序(顯示屏掃描函數(shù))流程圖進(jìn)入中斷消 隱定時(shí)器賦初值切換顯示數(shù)據(jù)讀取行號(hào)并增加1發(fā)送新行號(hào),打開顯示送新行顯示數(shù)據(jù)退出中斷 圖4.1.14.2系統(tǒng)主程序本設(shè)計(jì)的系統(tǒng)軟件能使系統(tǒng)LED顯示屏各點(diǎn)亮度均勻、充足,可顯示圖形和文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串?dāng)_。圖形或文字顯示有靜止、移入移出等顯示方式。系統(tǒng)主程序開始以后,首先是對(duì)系統(tǒng)環(huán)境初始化,包括設(shè)置串口、定時(shí)器、中斷和端口;然后以“卷簾出”效果顯示圖形,停留約幾秒;接著向上滾動(dòng)顯示“-”這幾個(gè)漢字及一個(gè)圖形,然后以“卷簾入”效果隱去圖形。由于單片機(jī)沒有停機(jī)指令,所以可以設(shè)置系統(tǒng)程序不斷的循環(huán)執(zhí)行上述顯示效果。單元顯示屏可以接收來自控制器(主控制電路板)或上一級(jí)顯示單元模塊傳輸下來的數(shù)據(jù)信息和命令信息,并可將這些數(shù)據(jù)信息和命令信息不經(jīng)任何變化地再傳送到下一級(jí)顯示模塊單元中,因此顯示板可擴(kuò)展至更多的顯示單元,用于顯示更多的顯示內(nèi)容。如果想改變些事內(nèi)容,先用字模產(chǎn)生字代碼,將用這段代碼覆蓋原來的代碼,即可顯示你想要的內(nèi)容。圖4.2.1是系統(tǒng)主程序流程圖。開始系統(tǒng)初始化“卷簾出”顯示效果“上滾屏”顯示效果“卷簾入”顯示效果圖4.2.1 5.系統(tǒng)調(diào)試u 調(diào)試主要分為硬件調(diào)試和軟件調(diào)試: 硬件調(diào)試:在焊接電路板的時(shí)候,應(yīng)該從最基本的最小系統(tǒng)開始,分模塊,逐個(gè)進(jìn)行焊接測(cè)試。在對(duì)各個(gè)硬件模塊進(jìn)行測(cè)試時(shí),要保證軟件正確的情況下去測(cè)試硬件,要不然發(fā)生錯(cuò)誤時(shí),不知道到底是哪一方出錯(cuò)了。當(dāng)然,在設(shè)計(jì)的過程中也存在著失誤和不足,在調(diào)試中進(jìn)行修改了。焊接完成后,檢驗(yàn)點(diǎn)陣、及線路的好壞:燒進(jìn)檢驗(yàn)程序,點(diǎn)陣將自第一排向下(上)點(diǎn)亮。檢驗(yàn)無異常,下載主程序u 軟件調(diào)試:軟件為老師所提供提供,其原理在上一模塊以作說明,在這里再作說明,軟件經(jīng)調(diào)試無誤,直接將其下再到單片機(jī)中,看是否達(dá)到所要的效果軟件部分是先參考書上的例子,然后自己根據(jù)硬件電路寫程序,由于以前所學(xué)是單片機(jī)匯編語(yǔ)言,所以這個(gè)系統(tǒng)在編寫程序過程中都采用匯編語(yǔ)言編寫。剛剛開始,編寫不會(huì)一次性通過,經(jīng)過仔細(xì)分析修改最后編譯成功。但是,在實(shí)際寫如S51中,LED顯示屏出現(xiàn)各種各樣的亂碼,通過再次認(rèn)真仔細(xì)分析多次修改程序后,程序能夠正常運(yùn)行6. 設(shè)計(jì)總結(jié)本文設(shè)計(jì)的點(diǎn)陣LED圖文顯示屏,能夠在目測(cè)條件下LED顯示屏各點(diǎn)亮度均勻、充足,可顯 示 圖 形 和 文 字,顯 示 的 圖 形 和 文 字 較 穩(wěn) 定、清 晰。在本次設(shè)計(jì)過程中,我們得到以下體會(huì):通過兩個(gè)星期和大家的一起的努力,我收獲了很多,本設(shè)計(jì)是用四個(gè)8x8的點(diǎn)陣顯示模塊組成的16x16的點(diǎn)陣LED圖文顯示屏,能夠在目測(cè)條件下LED顯示屏各點(diǎn)亮度均勻、充足,可顯示圖形和文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串?dāng)_。圖形或文字顯示有靜止、移入移出等顯示方式。本系統(tǒng)具有硬件少,結(jié)構(gòu)簡(jiǎn)單,容易實(shí)現(xiàn),性能穩(wěn)定可靠,成本低等特點(diǎn)。 總結(jié)本文的研究工作,主要做了下面幾點(diǎn)工作: 一、通過查閱大量的相關(guān)資料,詳細(xì)了解了LED的發(fā)光原理和LED顯示屏的原理,了解了LED的現(xiàn)狀,清楚地了解了LED顯示屏與其它顯示屏相比較有那些優(yōu)點(diǎn),明確了研究目標(biāo)。并且通過對(duì)單片機(jī)資料的查閱和應(yīng)用,更進(jìn)一步增加了對(duì)單片機(jī)知識(shí)的理解和運(yùn)用能力。并證實(shí)了自己的思路:“查資料思考總結(jié)運(yùn)用找出差錯(cuò),再查資料和向別人詢問再次運(yùn)用”的正確性。二,本文設(shè)計(jì)的LED顯示屏能夠?qū)崿F(xiàn)在目測(cè)條件下LED顯示屏各點(diǎn)亮度均勻、充足,可顯示圖形和文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串?dāng)_。圖形或文字顯示有靜止、移入移出等顯示方式。三,本文列出了系統(tǒng)具體的硬件設(shè)計(jì)方案,硬件結(jié)構(gòu)電路圖,軟件流程圖和具體C語(yǔ)言程序設(shè)計(jì)與調(diào)試等方面。四,通過這次課程設(shè)計(jì),重新復(fù)習(xí)并進(jìn)一步增強(qiáng)了動(dòng)手的能力,學(xué)以致用,把只是運(yùn)用到實(shí)際生活中才是根本目的總體來說這次的課程設(shè)計(jì)不算成功,沒達(dá)到預(yù)想的目的:但學(xué)到了知識(shí),提高了能力,完成了任務(wù)。有點(diǎn)缺憾是時(shí)間有限,實(shí)物沒能做成功,不能進(jìn)一步深入和擴(kuò)散學(xué)習(xí)和研究。希望有時(shí)間可以對(duì)程序和電路圖作更進(jìn)一步的改進(jìn).7. 附錄A:源程序*; * *; * 單個(gè)1616的點(diǎn)陣電子屏字符顯示器 *; * ATA89C52 12MHz晶振 *; * 2004.2.11 LRM *; *;顯示字用查表法,不占用內(nèi)存,字符用1616共陽(yáng)LED點(diǎn)陣,;效果:向上滾動(dòng)顯示5個(gè)字,再重復(fù)循環(huán)。;R1:查表偏址寄存器,B:查表首址,R2:掃描地址(從000FH)。;R3:滾動(dòng)顯示時(shí)控制移動(dòng)速度,單字顯示可控制靜止顯示的時(shí)間。;*;中斷入口程序 ;*;ORG 0000HLJMP STARTORG 0003HRETIORG 000BHLJMP INTTOORG 0013HERTIORG 001BHERTIORG 0023HRETIORG 002BHRETI;*;初始化程序;*;*; 主程序 ;*;START: MOV 20H,#00H ;清零標(biāo)志,00H為第16行開始掃描標(biāo)志,01為1幀 ;掃描結(jié)束標(biāo)志 MOV A,#0FFH ;端口初始化 MOV P1,A MOV P1,AMOV P3,AMOV P0,ACLR P1.6 ;串行寄存器輸入打入輸出控制位MOV TMOD,#01H ;使用T0做16位定時(shí)器,行掃描用。MOV TH0,#0FCH ;1ms初值(12MHz)MOV TL0,#18HMOV SCON,#00H ;串口0方式傳送顯示字節(jié)MOV IE,#82H ;T0中斷允許,總中斷允許MOV SP,#70HMAIN: LCALL DISI ;顯示準(zhǔn)備,黑屏,1.5s MOV DPTR,#TAB LCALL MOVDISP ;向上滾動(dòng)顯示一頁(yè)(8個(gè)字) INC DPH LCALL MOVDISP ;向上滾動(dòng)顯示一頁(yè)(8個(gè)字) INC DPH LACLL MOVDISP ;向上滾動(dòng)顯示一頁(yè)(8個(gè)字) AJMP MAIN;*; 多字滾動(dòng)顯示程序 ;*;每次8個(gè)字,入口時(shí)定義好DPTR值;MOVDISP: MOV B,#00H ;向上移動(dòng)顯示,查表偏值暫存(從00開始)DISLOOP: MOV R3,#07H ;移動(dòng)速度DISMOV: MOV R2,00H ;第0行開始 MOV R1,B SETB TR0 ;開掃描(每次一幀)WAITMOV: JBC 01H,DISMOV1 ;標(biāo)志為1掃描一幀結(jié)束(16ms為1幀,每行1ms) AJMP WAITMOVDISMOV1: DJNZ R3,DISMOV ;1幀重復(fù)顯示(控制移動(dòng)速度) INC B ;顯示字的下一行(每行2字節(jié)) INC B MOV A,R1 ;R1為0,8個(gè)字顯示完 JZ MOVOUT AJMP DISLOOPMOVOUT: RET ;移動(dòng)先是結(jié)束;*; 單字顯示程序 ;*;顯示表中某個(gè)字;*;DIS1: MOV R3,#5AH ;靜止顯示時(shí)間控制(16ms*#=1.6s)DIS11: MOV R2,#00H ;一幀掃描初始值(行地址從000FH)MOV DPTR,#TAB ;取表首址MOV R1,#00H ;查表偏址(顯示第一個(gè)字)SETB TR0 ;開掃描(每次一幀)WAIT11: JBC 01H,DIS111 ;為1,掃描一幀結(jié)束AJMP WAIT11DIS111: DJNZ R3,DIS11RET;*; 掃描程序 ;*;1ms刷新一次,每行顯示1sINTT0: PUSH ACCMOV TH0,#0FCH ;1ms初值重裝MOV TL0,#18HJBC 00H,GOEND ;16行掃描標(biāo)志為1,結(jié)束INC R1 ;取行右邊字節(jié)偏址MOV A,R1MOVC A,A+DPTR ;查表MOV SBUF,A ;串口0方式發(fā)送WAIT: JBC TI,GO ;等待發(fā)送完畢AJMP WAIT1GO: DEC R1 ;取行左邊字節(jié)偏址MOV A,R1MOVC A,A+DPTRMOV SBUF,AWAIT1: JBC T1,GO1AJMP WAIT1GO1: SETB P1.7 ;關(guān)行顯示,準(zhǔn)備刷新NOP ;串口寄存器數(shù)據(jù)穩(wěn)定SETB P1.6 ;產(chǎn)生上升沿,行數(shù)據(jù)打入輸出端NOPNOPCLR P1.6 ;恢復(fù)低電平MOV A,R2 ;修改顯示行地址ORL A,#0F0H ;修改顯示行地址MOV R2,A ;修改顯示行地址MOV A,P1 ;修改顯示行地址ORL A,#0FH ;修改顯示行地址ANL A,R2 ;修改顯示行地址MOV P1,A ;修改完成CLR P1.7 ;開行顯示INC R2 ;下一行掃描地址值INC R1INC R1 ;下一行數(shù)據(jù)地址MOV A,R2ANL A,#0FHJNZ GO2SETB 00H ;R2為01H,現(xiàn)為末行掃描,置標(biāo)志GO2: POP ACC RETIGOEND: CLR TR0 ;一幀掃描完畢,關(guān)掃描SETB 01H ;一幀掃描完畢,置結(jié)束標(biāo)志POP ACCRETI ;退出;*; 掃描文字表 ;*;TAB:DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,00FFH,0FFH,0FFH ;黑屏 DB0F9H,0BFH,0C7H,0AFH,0F7H,0B7H,0F7H,0B7H,0F7H,0BFH,000H,001H,0F7H,0BFH,0F7H,0B7H ;我 DB0F1H,0D7H,0C7H,0CFH,037H,0DFH,0F7H,0AFH,0F6H,06DH,0F7H,0F5H,0D7H,0F9H,0EFH,0FDH ; DB0FFH,007H,0C0H,06FH,0EDH,0EFH,0F6H,0DFH,0C0H,001H,0DDH,0FDH,0BDH,0FFH,0C0H,003H ;愛 DB0FBH,0FFH,0F8H,00FH,0F3H,0DFH,0F4H,0BFH,0EFH,03FH,09CH,0CFH,073H,0F1H,0CFH,0FBH ; DB0F7H,0DFH,0F9H,0CFH,0FBH,0BFH,0C0H,007H,0DEH,0F7H,0C0H,007H,0DEH,0F7H,0DEH,0F7H ;單 DB0C0H,007H,0DEH,0F7H,0FEH,0FFH,000H,001H,0FEH,0FFH,0FEH,0FFH,0FEH,0FFH,0FEH,0FFHDB0FFH,0BFH,0EFH,0BFH,0EFH,0BFH,0EFH,0BBH,0E0H,001H,0EFH,0FFH,0EFH,0FFH,0EFH,0FFH ;片DB0E0H,00FH,0EFH,0EFH,0EFH,0EFH,0EFH,0EFH,0DFH,0EFH,0DFH,0EFH,0BFH,0EFH,07FH,0FFHDB0EFH,0FFH,0EFH,007H,0EFH,077H,001H,077H,0EFH,077H,0EFH,077H,0C7H,077H,0CBH,077H ;機(jī)DB0ABH,077H,0AFH,077H,06EH,0F7H,0EEH,0F5H,0EDH,0F5H,0EDH,0F5H,0EBH,0F9H,0EFH,0FFH ; DB0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH ;黑屏DB0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH ;END8. 附錄B:作品實(shí)物圖片9.參考文獻(xiàn)1 劉欣銘,張廣斌.LED顯示屏技術(shù)綜述J.黑龍江電力,2003, 25(4):294-296.2 陽(yáng)進(jìn).基于單片機(jī)的LED顯示屏的漢字顯示J.中國(guó)科技信息,2005,(12):112.3 Mark Nelson著.瀟湘工作室譯.串行通信開發(fā)指南M.中國(guó)水利水電出版社,2002. 4 王宜懷.單片機(jī)原理及其嵌入式應(yīng)用教程M.北京希望電子出版社,2002. 5 韓潤(rùn)萍,陳小萍.點(diǎn)陣LED顯示屏控制系統(tǒng)J.微計(jì)算機(jī)信息,2003,19(10):50-51.

注意事項(xiàng)

本文(×16點(diǎn)陣LED電子顯示屏的設(shè)計(jì)-機(jī)器人創(chuàng)新設(shè)計(jì).docx)為本站會(huì)員(wux****ua)主動(dòng)上傳,裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。 若此文所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng)(點(diǎn)擊聯(lián)系客服),我們立即給予刪除!

溫馨提示:如果因?yàn)榫W(wǎng)速或其他原因下載失敗請(qǐng)重新下載,重復(fù)下載不扣分。




關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!